Part Number Hot Search : 
STK62 2200011 S0303 1N475 6704VTM 221MB TA121 TFS282A
Product Description
Full Text Search
 

To Download TMS320C82GGP-60 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 TMS320DM641/TMS320DM640 Video/Imaging Fixed-Point Digital Signal Processors
Data Manual
Literature Number: SPRS222 June 2003
PRODUCT PREVIEW information concerns products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.
IMPORTANT NOTICE Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications, enhancements, improvements, and other changes to its products and services at any time and to discontinue any product or service without notice. Customers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. All products are sold subject to TI's terms and conditions of sale supplied at the time of order acknowledgment. TI warrants performance of its hardware products to the specifications applicable at the time of sale in accordance with TI's standard warranty. Testing and other quality control techniques are used to the extent TI deems necessary to support this warranty. Except where mandated by government requirements, testing of all parameters of each product is not necessarily performed. TI assumes no liability for applications assistance or customer product design. Customers are responsible for their products and applications using TI components. To minimize the risks associated with customer products and applications, customers should provide adequate design and operating safeguards. TI does not warrant or represent that any license, either express or implied, is granted under any TI patent right, copyright, mask work right, or other TI intellectual property right relating to any combination, machine, or process in which TI products or services are used. Information published by TI regarding third-party products or services does not constitute a license from TI to use such products or services or a warranty or endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual property of the third party, or a license from TI under the patents or other intellectual property of TI. Reproduction of information in TI data books or data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. Reproduction of this information with alteration is an unfair and deceptive business practice. TI is not responsible or liable for such altered documentation. Resale of TI products or services with statements different from or beyond the parameters stated by TI for that product or service voids all express and any implied warranties for the associated TI product or service and is an unfair and deceptive business practice. TI is not responsible or liable for any such statements. Following are URLs where you can obtain information on other Texas Instruments products & application solutions: Products Amplifiers Data Converters DSP Interface Logic Power Mgmt Microcontrollers amplifier.ti.com dataconverter.ti.com dsp.ti.com interface.ti.com logic.ti.com power.ti.com microcontroller.ti.com Applications Audio Automotive Broadband Digital Control Military Optical Networking Security Telephony Video & Imaging Wireless Mailing Address: Texas Instruments Post Office Box 655303 Dallas, Texas 75265 Copyright 2003, Texas Instruments Incorporated www.ti.com/audio www.ti.com/automotive www.ti.com/broadband www.ti.com/digitalcontrol www.ti.com/military www.ti.com/opticalnetwork www.ti.com/security www.ti.com/telephony www.ti.com/video www.ti.com/wireless
Contents
Contents
Section 1 Page 13 14 14 15 18 20 21 22 25 27 45 47 49 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.1 GDK BGA Package (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 GNZ BGA Package (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.4 Device Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.5 Device Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.6 Functional Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.7 CPU (DSP Core) Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.8 Memory Map Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.9 Peripheral Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.10 EDMA Channel Synchronization Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.11 Interrupt Sources and Interrupt Selector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.12 Signal Groups Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
2
Device 2.1 2.2 2.3
2.4 2.5 2.6 2.7 2.8 2.9 2.10 2.11 2.12 2.13 2.14 2.15 2.16 2.17 2.18 2.19 2.20 2.21 2.22 2.23
Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 Peripheral Selection at Device Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 Device Configuration at Device Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 Peripheral Selection After Device Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 2.3.1 Peripheral Configuration Lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 2.3.2 Device Status Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 2.3.3 JTAG ID Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 Multiplexed Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 Debugging Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 Configuration Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 Terminal Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 Development Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 Device and Development-Support Tool Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Documentation Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 Clock PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93 Multichannel Audio Serial Port (McASP0) Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 2.12.1 McASP Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Video Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 VIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 EMAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 MDIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 Power-Supply Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 2.18.1 Power-Supply Design Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 Power-Supply Decoupling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 Power-Down Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 IEEE 1149.1 JTAG Compatibility Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 EMIF Device Speed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 Bootmode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
June 2003
SPRS222
3
Contents
Section 3
Page 107 107 107 108 109 109 109 110
Electrical Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1 Absolute Maximum Ratings Over Operating Case Temperature Range . . . . . . . . . . . . . . . . . . . . . . 3.2 Recommended Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3 Electrical Characteristics Over Recommended Ranges of Supply Voltage and Operating Case Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4 Parameter Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4.1 Signal Transition Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4.2 Signal Transition Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5 Timing Parameters and Board Routing Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4
Input and Output Clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
5
Asynchronous Memory Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
6
Programmable Synchronous Interface Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
7
Synchronous DRAM Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
8
AHOLD/AHOLDA Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
9
ABUSREQ Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
10 Reset Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
11 External Interrupt Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
12 Multichannel Audio Serial Port (McASP) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
13 Inter-Integrated Circuits (I2C) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
14 Host-Port Interface (HPI) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
15 Multichannel Buffered Serial Port (McBSP) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141
16 Video 16.1 16.2 16.3
Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only]) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . STCLK Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Data and Control Timing (Video Capture Mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VCLKIN Timing (Video Display Mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
148 148 149 150
4
SPRS222
June 2003
Contents
Section 16.4 16.5
Page Video Control Input/Output and Video Display Data Output Timing With Respect to VPxCLKINx and VPxCLKOUTx (Video Display Mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 Video Dual-Display Sync Mode Timing (With Respect to VPxCLKINx) . . . . . . . . . . . . . . . . . . . . . . . . 152
17 Ethernet Media Access Controller (EMAC) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
18 Management Data Input/Output (MDIO) Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
19 Timer Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
20 General-Purpose Input/Output (GPIO) Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
21 JTAG Test-Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
22 Mechanical Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 22.1 Ball Grid Array Mechanical Data Drawing (GDK) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 22.2 Ball Grid Array Mechanical Data Drawing (GNZ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
June 2003
SPRS222
5
Figures
List of Figures
Figure 1-1 1-2 1-3 1-4 1-5 1-6 Page GDK BGA Package (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 GNZ BGA Package (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 Functional Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 TMS320C64x CPU (DSP Core) Data Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 CPU and Peripheral Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 Peripheral Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
2-1 2-2 2-3 2-4 2-5 2-6 2-7 2-8 2-9 2-10 2-11 2-12 2-13 2-14
Peripheral Configuration Register (PERCFG) [Address Location: 0x01B3F000 - 0x01B3F003] . . . . . . 57 Peripheral Enable/Disable Flow Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 PCFGLOCK Register Diagram [Address Location: 0x01B3 F018] - Read/Write Accesses . . . . . . . . . . . 59 Device Status Register (DEVSTAT) Description - 0x01B3 F004 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 JTAG ID Register Description - TMS320DM641/DM640 Register Value - 0x0007 902F . . . . . . . . . . . . . 61 Configuration Example A for DM641 (2 8-Bit Video Ports + 1 McASP0 + VIC + I2C0 + EMIF) . . . . . . . . 63 Configuration Example B for DM641 (1 McASP0 + 2 McBSPs + VIC + I2C0 + EMIF) . . . . . . . . . . . . . . . 64 Configuration Example A for DM640 (1 8-Bit Video Port + 1 McASP0 + VIC + I2C0 + EMIF) . . . . . . . . . 65 Configuration Example B for DM640 (1 McASP0 + 2 McBSPs + VIC + I2C0 + EMIF) . . . . . . . . . . . . . . . 66 TMS320DM64x DSP Device Nomenclature (Including the DM641 and DM640 Devices) . . . . . . . . . . . . 91 External PLL Circuitry for Either PLL Multiply Modes or x1 (Bypass) Mode . . . . . . . . . . . . . . . . . . . . . . . . 94 McASP0 Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 I2C0 Module Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Schottky Diode Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
3-1 3-2 3-3 3-4
Test Load Circuit for AC Timing Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 Input and Output Voltage Reference Levels for AC Timing Measurements . . . . . . . . . . . . . . . . . . . . . . . 109 Rise and Fall Transition Time Voltage Reference Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 Board-Level Input/Output Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
4-1 4-2 4-3 4-4 4-5 4-6
CLKIN Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 CLKOUT4 Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 CLKOUT6 Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 ECLKIN Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 AECLKOUT1 Timing for the EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 AECLKOUT2 Timing for the EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
5-1 5-2
Asynchronous Memory Read Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 Asynchronous Memory Write Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
6
SPRS222
June 2003
Figures
Figure Page 6-1 Programmable Synchronous Interface Read Timing for EMIFA (With Read Latency = 2) . . . . . . . . . . . 119 6-2 Programmable Synchronous Interface Write Timing for EMIFA (With Write Latency = 0) . . . . . . . . . . . 120 6-3 Programmable Synchronous Interface Write Timing for EMIFA (With Write Latency = 1) . . . . . . . . . . . 121
7-1 7-2 7-3 7-4 7-5 7-6 7-7 7-8
SDRAM SDRAM SDRAM SDRAM SDRAM SDRAM SDRAM SDRAM
Read Command (CAS Latency 3) for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Write Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACTV Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DCAB Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DEAC Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REFR Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MRS Command for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Self-Refresh Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
123 124 125 125 126 126 127 127
8-1
AHOLD/AHOLDA Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
9-1
ABUSREQ Timing for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
10-1
Reset Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
11-1
External/NMI Interrupt Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
12-1 12-2
McASP Input Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 McASP Output Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
13-1 13-2
I2C Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 I2C Transmit Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
14-1 14-2 14-3 14-4
HPI16 Read Timing (HAS Not Used, Tied High) [for DM641 Only] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HPI16 Read Timing (HAS Used) [for DM641 Only] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HPI16 Write Timing (HAS Not Used, Tied High) [for DM641 Only] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HPI16 Write Timing (HAS Used) [for DM641 Only] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
139 139 140 140
15-1 15-2 15-3 15-4 15-5 15-6
McBSP Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . FSR Timing When GSYNC = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . .
143 143 144 145 146 147
June 2003
SPRS222
7
Figures
Figure 16-1 16-2 16-3 16-4 16-5 16-6
Page Video Port Capture VPxCLKINx TIming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . STCLK Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Port Capture Data and Control Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Port Display VPxCLKINx Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Port Display Data Output Timing and Control Input/Output Timing With Respect to VPxCLKINx and VPxCLKOUTx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Port Dual-Display Sync Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 148 149 150 151 152
17-1 17-2 17-3 17-4
MRCLK Timing (EMAC - Receive) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MTCLK Timing (EMAC - Transmit) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EMAC Receive Interface Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EMAC Transmit Interface Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
153 153 154 154
18-1 18-2
MDIO Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 MDIO Output Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
19-1
Timer Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
20-1
GPIO Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
21-1
JTAG Test-Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
8
SPRS222
June 2003
Tables
List of Tables
Table 1-1 1-2 1-3 1-4 1-5 1-6 1-7 1-8 1-9 1-10 1-11 1-12 1-13 1-14 1-15 1-16 1-17 1-18 1-19 1-20 1-21 1-22 1-23 1-24 1-25 1-26 1-27 1-28 1-29 1-30 Page Characteristics of the DM641 Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Characteristics of the DM640 Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Peripherals Available on the DM641 and DM640 Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TMS320DM641/DM640 Memory Map Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EMIFA Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L2 Cache Registers (C64x) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Quick DMA (QDMA) and Pseudo Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EDMA Registers (C64x) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EDMA Parameter RAM (C64x) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interrupt Selector Registers (C64x) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Peripheral Power-Down Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ethernet MAC (EMAC) Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EMAC Wrapper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EWRAP Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Device Configuration Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP 0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . McBSP 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timer 0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timer 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timer 2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HPI Registers [DM641 Only] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GP0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VCXO Interpolated Control (VIC) Port Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MDIO Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Video Port 0 and 1 (VP0 and VP1) Control Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . McASP0 Control Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . McASP0 Data Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I2C0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TMS320DM641/DM640 EDMA Channel Synchronization Events . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DM641/DM640 DSP Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 19 20 25 27 27 29 30 30 31 31 31 36 36 36 37 37 38 38 38 38 39 39 39 40 42 44 44 45 47
2-1 2-2 2-3 2-4 2-5 2-6 2-7 2-8 2-9 2-10
MAC_EN Peripheral Selection (EMAC and MDIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DM641/DM640 Device Configuration Pins (TOUT1/LENDIAN, AEA[22:19], and HD5) . . . . . . . . . . . . . Peripheral Configuration (PERCFG) Register Selection Bit Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . PCFGLOCK Register Selection Bit Descriptions - Read Accesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCFGLOCK Register Selection Bit Descriptions - Write Accesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Device Status (DEVSTAT) Register Selection Bit Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . JTAG ID Register Selection Bit Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DM641/DM640 Device Multiplexed Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Terminal Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TMS320DM641/DM640 PLL Multiply Factor Options, Clock Frequency Ranges, and Typical Lock Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
55 56 57 59 59 60 61 62 68 95
3-1
Board-Level Timing Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
June 2003
SPRS222
9
Tables
Table 4-1 4-2 4-3 4-4 4-5 4-6 4-7 4-8
Page Timing Requirements for CLKIN for -400 Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for CLKIN for -500 Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for CLKIN for -600 Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for CLKOUT4 . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for CLKOUT6 . . . . . . . . . . . . . . Timing Requirements for AECLKIN for EMIFA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for AECLKOUT1 for the EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for AECLKOUT2 for the EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 111 111 112 112 113 113 114
5-1 5-2
Timing Requirements for Asynchronous Memory Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . 115 Switching Characteristics Over Recommended Operating Conditions for Asynchronous Memory Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
6-1 6-2
Timing Requirements for Programmable Synchronous Interface Cycles for EMIFA Module . . . . . . . . 118 Switching Characteristics Over Recommended Operating Conditions for Programmable Synchronous Interface Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
7-1 7-2
Timing Requirements for Synchronous DRAM Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . 122 Switching Characteristics Over Recommended Operating Conditions for Synchronous DRAM Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
8-1 8-2
Timing Requirements for the AHOLD/AHOLDA Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . 128 Switching Characteristics Over Recommended Operating Conditions for the AHOLD/AHOLDA Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
9-1
Switching Characteristics Over Recommended Operating Conditions for the ABUSREQ Cycles for EMIFA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
10-1 10-2
Timing Requirements for Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Switching Characteristics Over Recommended Operating Conditions During Reset . . . . . . . . . . . . . . . 130
11-1
Timing Requirements for External Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
12-1 12-2
Timing Requirements for McASP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Switching Characteristics Over Recommended Operating Conditions for McASP . . . . . . . . . . . . . . . . 133
13-1 13-2
Timing Requirements for I2C Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136 Switching Characteristics for I2C Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
10
SPRS222
June 2003
Tables
Table 14-1 14-2
Page Timing Requirements for Host-Port Interface Cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138 Switching Characteristics Over Recommended Operating Conditions During Host-Port Interface Cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
15-1 15-2 15-3 15-4 15-5 15-6 15-7 15-8 15-9 15-10 15-11
Timing Requirements for McBSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for McBSP . . . . . . . . . . . . . . . . Timing Requirements for FSR When GSYNC = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
141 142 143 144 144 145 145 146 146 147 147
16-1 16-2 16-3 16-4 16-5 16-6 16-7
Timing Requirements for Video Capture Mode for VPxCLKINx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirments for STCLK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements in Video Capture Mode for Video Data and Control Inputs . . . . . . . . . . . . . . . . . Timing Requirements for Video Display Mode for VPxCLKINx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements in Video Display Mode for Video Control Input Shown With Respect to VPxCLKINx and VPxCLKOUTx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions in Video Display Mode for Video Data and Control Output Shown With Respect to VPxCLKINx and VPxCLKOUTx . . . . . . . . Timing Requirements for Dual-Display Sync Mode for VPxCLKINx . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
148 148 149 150 150 151 152
17-1 17-2 17-3 17-4
Timing Requirements for MRCLK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for MTCLK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Timing Requirements for EMAC MII Receive 10/100 Mbit/s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Characteristics Over Recommended Operating Conditions for EMAC MII Transmit 10/100 Mbit/s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
153 153 154 154
18-1 18-2
Timing Requirements for MDIO Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 Switching Characteristics Over Recommended Operating Conditions for MDIO Output . . . . . . . . . . . . 155
19-1 19-2
Timing Requirements for Timer Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156 Switching Characteristics Over Recommended Operating Conditions for Timer Outputs . . . . . . . . . . . 156
20-1 20-2
Timing Requirements for GPIO Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 Switching Characteristics Over Recommended Operating Conditions for GPIO Outputs . . . . . . . . . . . 157
June 2003
SPRS222
11
Tables
Table 21-1 21-2
Page Timing Requirements for JTAG Test Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 Switching Characteristics Over Recommended Operating Conditions for JTAG Test Port . . . . . . . . . . 158
22-1 22-2
Thermal Resistance Characteristics (S-PBGA Package) [GDK] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 Thermal Resistance Characteristics (S-PBGA Package) [GNZ] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
12
SPRS222
June 2003
Features
1
Features
D High-Performance Digital Media Processor
(TMS320DM641/TMS320DM640) - 2.5-, 2-, 1.67-ns Instruction Cycle Time - 400-, 500-, 600-MHz Clock Rate - Eight 32-Bit Instructions/Cycle - 3200, 4000, 4800 MIPS - Fully Software-Compatible With C64x VelociTI.2 Extensions to VelociTI Advanced Very-Long-Instruction-Word (VLIW) TMS320C64x DSP Core - Eight Highly Independent Functional Units With VelociTI.2 Extensions: - Six ALUs (32-/40-Bit), Each Supports Single 32-Bit, Dual 16-Bit, or Quad 8-Bit Arithmetic per Clock Cycle - Two Multipliers Support Four 16 x 16-Bit Multiplies (32-Bit Results) per Clock Cycle or Eight 8 x 8-Bit Multiplies (16-Bit Results) per Clock Cycle - Load-Store Architecture With Non-Aligned Support - 64 32-Bit General-Purpose Registers - Instruction Packing Reduces Code Size - All Instructions Conditional Instruction Set Features - Byte-Addressable (8-/16-/32-/64-Bit Data) - 8-Bit Overflow Protection - Bit-Field Extract, Set, Clear - Normalization, Saturation, Bit-Counting - VelociTI.2 Increased Orthogonality L1/L2 Memory Architecture - 128K-Bit (16K-Byte) L1P Program Cache (Direct Mapped) - 128K-Bit (16K-Byte) L1D Data Cache (2-Way Set-Associative) - 1M-Bit (128K-Byte) L2 Unified Mapped RAM/Cache (Flexible RAM/Cache Allocation) Endianess: Little Endian, Big Endian 32-Bit External Memory Interface (EMIF) - Glueless Interface to Asynchronous Memories (SRAM and EPROM) and Synchronous Memories (SDRAM, SBSRAM, ZBT SRAM, and FIFO) - 1024M-Byte Total Addressable External Memory Space Enhanced Direct-Memory-Access (EDMA) Controller (64 Independent Channels) 10/100 Mb/s Ethernet MAC (EMAC) - IEEE 802.3 Compliant - Media Independent Interface (MII) - 8 Independent Transmit (TX) and 8 Independent Receive (RX) Channels Management Data Input/Output (MDIO) Two Configurable Video Ports (DM641) One Configurable Video Port (DM640) - Providing a Glueless I/F to Common Video Decoder and Encoder Devices - Supports Multiple Resolutions and Video Standards - Supports RAW Video I/O - Transport Stream Interface Mode VCXO Interpolated Control Port (VIC) - Supports Audio/Video Synchronization Host-Port Interface (HPI) [16-Bit] (DM641) Multichannel Audio Serial Port (McASP) - Four Serial Data Pins - Wide Variety of I2S and Similar Bit Stream Format - Integrated Digital Audio I/F Transmitter Supports S/PDIF, IEC60958-1, AES-3, CP-430 Formats Inter-Integrated Circuit (I2C) Bus Two Multichannel Buffered Serial Ports Three 32-Bit General-Purpose Timers Eight General-Purpose I/O (GPIO) Pins Flexible PLL Clock Generator IEEE-1149.1 (JTAG) Boundary-Scan-Compatible 548-Pin Ball Grid Array (BGA) Package (GDK Suffix), 0.8-mm Ball Pitch 548-Pin Ball Grid Array (BGA) Package (GNZ Suffix), 1.0-mm Ball Pitch 0.13-m/6-Level Cu Metal Process (CMOS) 3.3-V I/Os, 1.2-V Internal (-400, -500) 3.3-V I/Os, 1.4-V Internal (-600)
D D
D
D D
D D D
D
D
D D D D D D D D D D D
D D
C64x, VelociTI.2, VelociTI, and TMS320C64x are trademarks of Texas Instruments. All trademarks are the property of their respective owners. IEEE Standard 1149.1-1990 Standard-Test-Access Port and Boundary Scan Architecture.
June 2003
SPRS222
13
PRODUCT PREVIEW
Features
1.1
GDK BGA Package (Bottom View)
GDK 548-PIN BALL GRID ARRAY (BGA) PACKAGE ( BOTTOM VIEW )
AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D
PRODUCT PREVIEW
C B A 1 2 3 4 5 6 7 8 9 11 13 15 17 19 21 23 25 10 12 14 16 18 20 22 24 26
Figure 1-1. GDK BGA Package (Bottom View)
1.2
GNZ BGA Package (Bottom View)
GNZ 548-PIN BALL GRID ARRAY (BGA) PACKAGE ( BOTTOM VIEW )
AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A 1 2 3 4 5 6 7 8 9 11 13 15 17 19 21 23 25 10 12 14 16 18 20 22 24 26
Figure 1-2. GNZ BGA Package (Bottom View)
Bottom View
14
SPRS222
June 2003
Description
1.3
Description
The TMS320C64x DSPs (including the TMS320DM641 and TMS320DM640 devices) are the highest-performance fixed-point DSP generation in the TMS320C6000 DSP platform. The TMS320DM641 and TMS320DM640 (DM641 and DM640) devices are based on the second-generation high-performance, advanced VelociTI very-long-instruction-word (VLIW) architecture (VelociTI.2) developed by Texas Instruments (TI), making these DSPs an excellent choice for digital media applications. The C64x is a code-compatible member of the C6000 DSP platform. With performance of up to 4800 million instructions per second (MIPS) at a clock rate of 600 MHz, the DM641 device offers cost-effective solutions to high-performance DSP programming challenges. With performance of up to 3200 million instructions per second (MIPS) at a clock rate of 400 MHz, the DM640 device offers cost-effective solutions to high-performance DSP programming challenges.
The DM641/DM640 uses a two-level cache-based architecture and has a powerful and diverse set of peripherals. The Level 1 program cache (L1P) is a 128-Kbit direct mapped cache and the Level 1 data cache (L1D) is a 128-Kbit 2-way set-associative cache. The Level 2 memory/cache (L2) consists of an 1-Mbit memory space that is shared between program and data space. L2 memory can be configured as mapped memory, cache, or combinations of the two. The peripheral set includes: two configurable video ports (DM641); one configurable video port (DM640); a 10/100 Mb/s Ethernet MAC (EMAC); a management data input/output (MDIO) module; a VCXO interpolated control port (VIC); one 4-bit multichannel buffered audio serial port (McASP0); an inter-integrated circuit (I2C) Bus module; two multichannel buffered serial ports (McBSPs); three 32-bit general-purpose timers; a 16-bit host-port interface (HPI16); a 16-pin general-purpose input/output port (GP0) with programmable interrupt/event generation modes; and a 32-bit glueless external memory interface (EMIFA), which is capable of interfacing to synchronous and asynchronous memories and peripherals. The DM641 device has two configurable video port peripherals (VP0 and VP1). The DM640 device has one configurable video port peripheral (VP0). These video port peripherals provide a glueless interface to common video decoder and encoder devices. All Video Port peripherals have the capability to operate as a video-capture port, a video-display port, or a transport stream interface (TSI) capture port. The DM641/DM640 video port peripherals support multiple resolutions and video standards (e. g., CCIR601, ITU-BT.656, BT.1120, SMPTE 125M, 260M, 274M, and 296M).
TMS320C6000 and C6000 are trademarks of Texas Instruments. June 2003 SPRS222 15
PRODUCT PREVIEW
The DM641/DM640 DSP possesses the operational flexibility of high-speed controllers and the numerical capability of array processors. The C64x DSP core processor has 64 general-purpose registers of 32-bit word length and eight highly independent functional units--two multipliers for a 32-bit result and six arithmetic logic units (ALUs)-- with VelociTI.2 extensions. The VelociTI.2 extensions in the eight functional units include new instructions to accelerate the performance in video and imaging applications and extend the parallelism of the VelociTI architecture. The DM641 can produce four 32-bit multiply-accumulates (MACs) per cycle for a total of 2400 million MACs per second (MMACS), or eight 8-bit MACs per cycle for a total of 4800 MMACS. The DM641/DM640 DSP also has application-specific hardware logic, on-chip memory, and additional on-chip peripherals similar to the other C6000 DSP platform devices.
Description
These video port peripherals are configurable and can support either video capture and/or video display modes. For capture operation, the video port can operate as a single channel of 8-bit BT.656, 8-bit raw video, or 8-bit TSI. For display operation, the video port can operate as a single channel of 8-bit BT.656 display, 8-bit raw video display. For more details on the Video Port peripherals, see the TMS320DM642 Technical Overview (literature number SPRU615) or the TMS320C64x DSP Video Port/VCXO Interpolated Control (VIC) Port Reference Guide (literature number SPRU629). The McASP0 port supports one transmit and one receive clock zone, with four serial data pins which can be individually allocated to any of the two zones. The serial port supports time-division multiplexing on each pin from 2 to 32 time slots. The DM641/DM640 has sufficient bandwidth to support all 4 serial data pins transmitting a 192-kHz stereo signal. Serial data in each zone may be transmitted and received on multiple serial data pins simultaneously and formatted in a multitude of variations on the Philips Inter-IC Sound (I2S) format. In addition, the McASP0 transmitter may be programmed to output multiple S/PDIF, IEC60958, AES-3, CP-430 encoded data channels simultaneously, with a single RAM containing the full implementation of user data and channel status fields. McASP0 also provides extensive error-checking and recovery features, such as the bad clock detection circuit for each high-frequency master clock which verifies that the master clock is within a programmed frequency range. The VCXO interpolated control (VIC) port provides digital-to-analog conversion with resolution from 9-bits to up to 16-bits. The output of the VIC is a single bit interpolated D/A output. For more details on the VIC port, see the TMS320C64x DSP Video Port/VCXO Interpolated Control (VIC) Port Reference Guide (literature number SPRU629). The ethernet media access controller (EMAC) provides an efficient interface between the DM641/DM640 DSP core processor and the network. The DM641/DM640 EMAC supports both 10Base-T and 100Base-TX, or 10 Mbits/second (Mbps) and 100 Mbps in either half- or full-duplex, with hardware flow control and quality of service (QOS) support. The DM641/DM640 EMAC makes use of a custom interface to the DSP core that allows efficient data transmission and reception. For more details on the EMAC, see the TMS320C6000 DSP Ethernet Media Access Controller (EMAC) / Management Data Input/Output (MDIO) Module Reference Guide (literature number SPRU628). The management data input/output (MDIO) module continuously polls all 32 MDIO addresses in order to enumerate all PHY devices in the system. Once a PHY candidate has been selected by the DSP, the MDIO module transparently monitors its link state by reading the PHY status register. Link change events are stored in the MDIO module and can optionally interrupt the DSP, allowing the DSP to poll the link status of the device without continuously performing costly MDIO accesses. For more details on the MDIO, see the TMS320C6000 DSP Ethernet Media Access Controller (EMAC) / Management Data Input/Output (MDIO) Module Reference Guide (literature number SPRU628).
PRODUCT PREVIEW
16
SPRS222
June 2003
Description
The I2C0 port on the TMS320DM641/DM640 allows the DSP to easily control peripheral devices and communicate with a host processor. In addition, the standard multichannel buffered serial port (McBSP) may be used to communicate with serial peripheral interface (SPI) mode peripheral devices. The DM641/DM640 has a complete set of development tools which includes: a new C compiler, an assembly optimizer to simplify programming and scheduling, and a Windows debugger interface for visibility into source code execution.
Windows is a registered trademark of the Microsoft Corporation.
June 2003
SPRS222
17
PRODUCT PREVIEW
Device Characteristics
1.4
Device Characteristics
Table 1-1 provides an overview of the DM641 DSP. The table shows significant features of the DM641 device, including the capacity of on-chip RAM, the peripherals, the CPU frequency, and the package type with pin count.
Table 1-1. Characteristics of the DM641 Processor
HARDWARE FEATURES EMIFA (32-bit bus width) (clock source = AECLKIN) EDMA (64 independent channels) McASP0 (uses Peripheral Clock [AUXCLK]) I2C0 (uses Peripheral Clock) DM641 1 1 1 1 1 (HPI16) 2 2 1 1 1 3 8 160K 16K-Byte (16KB) L1 Program (L1P) Cache Organization Control Status Register (CSR.[31:16]) JTAGID register (address location: 0x01B3F008) MHz 16KB L1 Data (L1D) Cache 128KB Unified Mapped RAM/Cache (L2) 0x0C01 0x0007902F 500, 600 2 ns (DM641-500) [500-MHz CPU, 100 MHz EMIF] 1.67 ns (DM641-600) [600-MHz CPU, 133 MHz EMIF] 1.2 V (-500) 1.4 V (-600) 3.3 V Bypass (x1), x6, x12 548-Pin BGA (GDK) 548-Pin BGA (GNZ) 0.13 m PP TMX320DM641GDK, TMX320DM641GNZ
Peripherals Not all peripherals pins are available at the same time (For more detail, see the Device Configuration section).
HPI (16-bit) McBSPs (internal clock source = CPU/4 clock frequency) Configurable Video Ports (VP0 and VP1) 10/100 Ethernet MAC (EMAC) Management Data Input/Output (MDIO) VCXO Interpolated Control Port (VIC) 32-Bit Timers (internal clock source = CPU/8 clock frequency) General-Purpose Input/Output Port (GP0) Size (Bytes)
PRODUCT PREVIEW
On-Chip Memory
CPU ID + CPU Rev ID JTAG BSDL_ID Frequency
Cycle Time
ns
Voltage PLL Options BGA Package Process Technology Product Status Device Part Numbers
Core (V) I/O (V) CLKIN frequency multiplier 23 x 23 mm 27 x 27 mm m Product Preview (PP), Advance Information (AI), or Production Data (PD) (For more details on the C6000 DSP part numbering, see Figure 2-10)
On this DM64x device, the rated EMIF speed affects only the SDRAM interface on the EMIF. For more detailed information, see the EMIF device speed portion of this data sheet. PRODUCT PREVIEW information concerns products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.
18
SPRS222
June 2003
Device Characteristics
Table 1-2 provides an overview of the DM640 DSP. The table shows significant features of the DM640 device, including the capacity of on-chip RAM, the peripherals, the CPU frequency, and the package type with pin count.
Table 1-2. Characteristics of the DM640 Processor
HARDWARE FEATURES EMIFA (32-bit bus width) (clock source = AECLKIN) EDMA (64 independent channels) McASP0 (uses Peripheral Clock [AUXCLK]) Peripherals Not all peripherals pins are available at the same time (For more detail, see the Device Configuration section). I2C0 (uses Peripheral Clock) McBSPs (internal clock source = CPU/4 clock frequency) Configurable Video Port (VP0) 10/100 Ethernet MAC (EMAC) Management Data Input/Output (MDIO) VCXO Interpolated Control Port (VIC) 32-Bit Timers (internal clock source = CPU/8 clock frequency) General-Purpose Input/Output Port (GP0) Size (Bytes) On-Chip Memory Organization Control Status Register (CSR.[31:16]) JTAGID register (address location: 0x01B3F008) MHz ns Core (V) Voltage PLL Options BGA Package Process Technology Product Status Device Part Numbers I/O (V) CLKIN frequency multiplier 23 x 23 mm 27 x 27 mm m Product Preview (PP), Advance Information (AI), or Production Data (PD) (For more details on the C6000 DSP part numbering, see Figure 2-10) DM640 1 1 1 1 2 1 1 1 1 3 8 160K 16K-Byte (16KB) L1 Program (L1P) Cache 16KB L1 Data (L1D) Cache 128KB Unified Mapped RAM/Cache (L2) CPU ID + CPU Rev ID JTAG BSDL_ID Frequency Cycle Time 0x0C01 0x0007902F 400 2.5 ns (DM640-400) [400-MHz CPU, 100 MHz EMIF] 1.2 V (-400) 3.3 V Bypass (x1), x6, x12 548-Pin BGA (GDK) 548-Pin BGA (GNZ) 0.13 m PP TMX320DM640GDK, TMX320DM640GNZ
On this DM64x device, the rated EMIF speed affects only the SDRAM interface on the EMIF. For more detailed information, see the EMIF device speed portion of this data sheet. PRODUCT PREVIEW information concerns products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.
June 2003
SPRS222
19
PRODUCT PREVIEW
Device Compatibility
1.5
Device Compatibility
The DM641/DM640 device is a code-compatible member of the C6000 DSP platform. The C64x DSP generation of devices has a diverse and powerful set of peripherals. The common peripheral set and pin-compatibility that the DM641 and DM640 devices offer lead to easier system designs and faster time to market. The DM640 device is a sub-set of the DM641 device and does not support an HPI peripheral or a second Video Port (VP1) peripheral. Table 1-3 identifies the peripherals that are available on the DM641 and DM640 devices.
Table 1-3. Peripherals Available on the DM641 and DM640 Devices
PERIPHERALS/COPROCESSORS EMIFA (32-bit bus width) EDMA (64 independent channels) 10/100 EMAC MDIO HPI (16-bit) McBSPs (McBSP0, McBSP1) McASP (4-bit) 8-bit Video Port (VP0) 8-bit Video Port (VP1) VIC I2C Timers (32-bit) [TIMER0, TIMER1, TIMER2] DM641 DM640 -- --
PRODUCT PREVIEW
GPIOs (GP[7:0]) -- denotes peripheral/coprocessor is not available on this device. Not all peripherals pins are available at the same time. (For more details, see the Device Configuration section.)
20
SPRS222
June 2003
Functional Block Diagram
1.6
Functional Block Diagram
Figure 1-3 shows the functional block diagram of the DM641/DM640 devices.
SDRAM SBSRAM ZBT SRAM FIFO SRAM ROM/FLASH I/O Devices
32
EMIF A Timer 2 Timer 1 Timer 0
TMS320DM641/TMS320DM640
L1P Cache Direct-Mapped 16K Bytes Total
C64x DSP Core
8-Bit VP0 OR McBSP0 AND McASP0 Control
Enhanced DMA Controller (EDMA) L2 Cache Memory 128KBytes
Instruction Fetch Instruction Dispatch Advanced Instruction Packet Instruction Decode Data Path A A Register File A31-A16 A15-A0 Data Path B B Register File B31-B16 B15-B0
Control Registers Control Logic Test Advanced In-Circuit Emulation Interrupt Control
8-Bit VP1 OR
See Note A
.L1
.S1
.M1 .D1
.D2 .M2 .S2
.L2
McBSP1 AND McASP0 Data
L1D Cache 2-Way Set-Associative 16K Bytes Total
HPI EMAC MDIO
8
PLL (x1, x6, x12)
Power-Down Logic
GP0
16
Boot Configuration
I2C0
HPI and VP1 are not supported on the DM640 device. McBSPs: Framing Chips - H.100, MVIP, SCSA, T1, E1; AC97 Devices; SPI Devices; Codecs NOTE A: The Video Port 0 (VP0) peripheral is muxed with the McBSP0 peripheral and the McASP0 control pins (DM641/DM640). The Video Port 1 (VP1) peripheral is muxed with the McBSP1 peripheral and the McASP0 data pins (DM641 only). For more details on the multiplexed pins of these peripherals, see the Device Configurations section of this data sheet.
Figure 1-3. Functional Block Diagram
June 2003
SPRS222
21
PRODUCT PREVIEW
CPU (DSP Core) Description
1.7
CPU (DSP Core) Description
The CPU fetches VelociTI advanced very-long instruction words (VLIWs) (256 bits wide) to supply up to eight 32-bit instructions to the eight functional units during every clock cycle. The VelociTI VLIW architecture features controls by which all eight units do not have to be supplied with instructions if they are not ready to execute. The first bit of every 32-bit instruction determines if the next instruction belongs to the same execute packet as the previous instruction, or whether it should be executed in the following clock as a part of the next execute packet. Fetch packets are always 256 bits wide; however, the execute packets can vary in size. The variable-length execute packets are a key memory-saving feature, distinguishing the C64x CPUs from other VLIW architectures. The C64x VelociTI.2 extensions add enhancements to the TMS320C62x DSP VelociTI architecture. These enhancements include:
* *
Register file enhancements Data path extensions Quad 8-bit and dual 16-bit extensions with data flow enhancements Additional functional unit hardware Increased orthogonality of the instruction set Additional instructions that reduce code size and increase register flexibility
PRODUCT PREVIEW
* * * *
The CPU features two sets of functional units. Each set contains four units and a register file. One set contains functional units .L1, .S1, .M1, and .D1; the other set contains units .D2, .M2, .S2, and .L2. The two register files each contain 32 32-bit registers for a total of 64 general-purpose registers. In addition to supporting the packed 16-bit and 32-/40-bit fixed-point data types found in the C62x VelociTI VLIW architecture, the C64x register files also support packed 8-bit data and 64-bit fixed-point data types. The two sets of functional units, along with two register files, compose sides A and B of the CPU [see the functional block and CPU (DSP core) diagram, and Figure 1-4]. The four functional units on each side of the CPU can freely share the 32 registers belonging to that side. Additionally, each side features a "data cross path"--a single data bus connected to all the registers on the other side, by which the two sets of functional units can access data from the register files on the opposite side. The C64x CPU pipelines data-cross-path accesses over multiple clock cycles. This allows the same register to be used as a data-cross-path operand by multiple functional units in the same execute packet. All functional units in the C64x CPU can access operands via the data cross path. Register access by functional units on the same side of the CPU as the register file can service all the units in a single clock cycle. On the C64x CPU, a delay clock is introduced whenever an instruction attempts to read a register via a data cross path if that register was updated in the previous clock cycle. In addition to the C62x DSP fixed-point instructions, the C64x DSP includes a comprehensive collection of quad 8-bit and dual 16-bit instruction set extensions. These VelociTI.2 extensions allow the C64x CPU to operate directly on packed data to streamline data flow and increase instruction set efficiency. This is a key factor for video and imaging applications.
TMS320C62x is a trademark of Texas Instruments. 22 SPRS222 June 2003
CPU (DSP Core) Description
Another key feature of the C64x CPU is the load/store architecture, where all instructions operate on registers (as opposed to data in memory). Two sets of data-addressing units (.D1 and .D2) are responsible for all data transfers between the register files and the memory. The data address driven by the .D units allows data addresses generated from one register file to be used to load or store data to or from the other register file. The C64x .D units can load and store bytes (8 bits), half-words (16 bits), and words (32 bits) with a single instruction. And with the new data path extensions, the C64x .D unit can load and store doublewords (64 bits) with a single instruction. Furthermore, the non-aligned load and store instructions allow the .D units to access words and doublewords on any byte boundary. The C64x CPU supports a variety of indirect addressing modes using either linear- or circular-addressing with 5- or 15-bit offsets. All instructions are conditional, and most can access any one of the 64 registers. Some registers, however, are singled out to support specific addressing modes or to hold the condition for conditional instructions (if the condition is not automatically "true"). The two .M functional units perform all multiplication operations. Each of the C64x .M units can perform two 16 x 16-bit multiplies or four 8 x 8-bit multiplies per clock cycle. The .M unit can also perform 16 x 32-bit multiply operations, dual 16 x 16-bit multiplies with add/subtract operations, and quad 8 x 8-bit multiplies with add operations. In addition to standard multiplies, the C64x .M units include bit-count, rotate, Galois field multiplies, and bidirectional variable shift hardware. The two .S and .L functional units perform a general set of arithmetic, logical, and branch functions with results available every clock cycle. The arithmetic and logical functions on the C64x CPU include single 32-bit, dual 16-bit, and quad 8-bit operations. The processing flow begins when a 256-bit-wide instruction fetch packet is fetched from a program memory. The 32-bit instructions destined for the individual functional units are "linked" together by "1" bits in the least significant bit (LSB) position of the instructions. The instructions that are "chained" together for simultaneous execution (up to eight in total) compose an execute packet. A "0" in the LSB of an instruction breaks the chain, effectively placing the instructions that follow it in the next execute packet. A C64x DSP device enhancement now allows execute packets to cross fetch-packet boundaries. In the TMS320C62x/TMS320C67x DSP devices, if an execute packet crosses the fetch-packet boundary (256 bits wide), the assembler places it in the next fetch packet, while the remainder of the current fetch packet is padded with NOP instructions. In the C64x DSP device, the execute boundary restrictions have been removed, thereby, eliminating all of the NOPs added to pad the fetch packet, and thus, decreasing the overall code size. The number of execute packets within a fetch packet can vary from one to eight. Execute packets are dispatched to their respective functional units at the rate of one per clock cycle and the next 256-bit fetch packet is not fetched until all the execute packets from the current fetch packet have been dispatched. After decoding, the instructions simultaneously drive all active functional units for a maximum execution rate of eight instructions every clock cycle. While most results are stored in 32-bit registers, they can be subsequently moved to memory as bytes, half-words, or doublewords. All load and store instructions are byte-, half-word-, word-, or doubleword-addressable. For more details on the C64x CPU functional units enhancements, see the following documents:
* *
TMS320C6000 CPU and Instruction Set Reference Guide (literature number SPRU189) TMS320C64x Technical Overview (literature number SPRU395)
June 2003
SPRS222
23
PRODUCT PREVIEW
CPU (DSP Core) Description
src1 .L1 src2 8 8
dst long dst long src ST1b (Store Data) ST1a (Store Data) 32 MSBs 32 LSBs long src long dst dst .S1 src1 Data Path A src2 long dst dst .M1 src1 src2 LD1b (Load Data) LD1a (Load Data) 32 MSBs 32 LSBs .D1 dst src1 src2
8 8 Register File A (A0-A31)
See Note A See Note A
PRODUCT PREVIEW
DA1 (Address)
2X 1X
src2 DA2 (Address) LD2a (Load Data) LD2b (Load Data) 32 LSBs 32 MSBs src2 .M2 src1 dst long dst src2 Data Path B .S2 src1 dst long dst long src See Note A See Note A Register File B (B0- B31) 8 8 .D2 src1 dst
ST2a (Store Data) ST2b (Store Data)
32 MSBs 32 LSBs long src long dst dst .L2 src2 src1 Control Register File 8 8
NOTE A: For the .M functional units, the long dst is 32 MSBs and the dst is 32 LSBs.
Figure 1-4. TMS320C64x CPU (DSP Core) Data Paths
24
SPRS222
June 2003
Memory Map Summary
1.8
Memory Map Summary
Table 1-4 shows the memory map address ranges of the DM641/DM640 device. Internal memory is always located at address 0 and can be used as both program and data memory. The external memory address ranges in the DM641/DM640 device begin at the hex address location 0x8000 0000 for EMIFA.
Table 1-4. TMS320DM641/DM640 Memory Map Summary
MEMORY BLOCK DESCRIPTION BLOCK SIZE (BYTES) 128K 768K 23M 256K 256K 256K 256K 256K 256K 256K 256K 256K 512K 256K 256K - 4K 4K 16K 32K 16K 192K 256K 256K 256K 16K 16K 32K 192K 4K 8K 2K 2K 3.5M 52 928M - 52 HEX ADDRESS RANGE
Internal RAM (L2) Reserved Reserved External Memory Interface A (EMIFA) Registers L2 Registers HPI Registers (DM641 only) McBSP 0 Registers McBSP 1 Registers Timer 0 Registers Timer 1 Registers Interrupt Selector Registers EDMA RAM and EDMA Registers Reserved Timer 2 Registers GP0 Registers Device Configuration Registers I2C0 Data and Control Registers Reserved McASP0 Control Registers Reserved Reserved Emulation Reserved VP0 Control VP1 Control (DM641 only) Reserved Reserved EMAC Control EMAC Wrapper EWRAP Registers MDIO Control Registers Reserved QDMA Registers Reserved
0000 0000 - 0001 FFFF 0004 0000 - 000F FFFF 0010 0000 - 017F FFFF 0180 0000 - 0183 FFFF 0184 0000 - 0187 FFFF 0188 0000 - 018B FFFF 018C 0000 - 018F FFFF 0190 0000 - 0193 FFFF 0194 0000 - 0197 FFFF 0198 0000 - 019B FFFF 019C 0000 - 019F FFFF 01A0 0000 - 01A3 FFFF 01A4 0000 - 01AB FFFF 01AC 0000 - 01AF FFFF 01B0 0000 - 01B3 EFFF 01B3 F000 - 01B3 FFFF 01B4 0000 - 01B4 3FFF 01B4 4000 - 01B4 BFFF 01B4 C000 - 01B4 FFFF 01B5 0000 - 01B7 FFFF 01B8 0000 - 01BB FFFF 01BC 0000 - 01BF FFFF 01C0 0000 - 01C3 FFFF 01C4 0000 - 01C4 3FFF 01C4 4000 - 01C4 7FFF 01C4 8000 - 01C4 FFFF 01C5 0000 - 01C7 FFFF 01C8 0000 - 01C8 0FFF 01C8 1000 - 01C8 2FFF 01C8 3000 - 01C8 37FF 01C8 3800 - 01C8 3FFF 01C8 4000 - 01FF FFFF 0200 0000 - 0200 0033 0200 0034 - 2FFF FFFF
For the DM640 device, these memory address locations are reserved.The DM640 device does not support the HPI and VP1 peripherals.
June 2003
SPRS222
25
PRODUCT PREVIEW
Memory Map Summary
Table 1-4. TMS320DM641/DM640 Memory Map Summary (Continued)
MEMORY BLOCK DESCRIPTION McBSP 0 Data McBSP 1 Data Reserved McASP0 Data Reserved Reserved VP0 Channel A Data Reserved VP1 Channel A Data (DM641 only) Reserved Reserved EMIFA CE0 BLOCK SIZE (BYTES) 64M 64M 64M 1M 64M - 1M 832M 32M 32M 32M 32M 64M 256M 256M 256M 256M 1G HEX ADDRESS RANGE
3000 0000 - 33FF FFFF 3400 0000 - 37FF FFFF 3800 0000 - 3BFF FFFF 3C00 0000 - 3C0F FFFF 3C10 0000 - 3FFF FFFF 4000 0000 - 73FF FFFF 7400 0000 - 75FF FFFF 7600 0000 - 77FF FFFF 7800 0000 - 79FF FFFF 7A00 0000 - 7BFF FFFF 7C00 0000 - 7FFF FFFF 8000 0000 - 8FFF FFFF 9000 0000 - 9FFF FFFF A000 0000 - AFFF FFFF B000 0000 - BFFF FFFF C000 0000 - FFFF FFFF
PRODUCT PREVIEW
EMIFA CE1 EMIFA CE2 EMIFA CE3 Reserved
For the DM640 device, these memory address locations are reserved.The DM640 device does not support the HPI and VP1 peripherals.
26
SPRS222
June 2003
Peripheral Register Descriptions
1.9
Peripheral Register Descriptions
Table 1-5 through Table 1-28 identify the peripheral registers for the DM641/DM640 device by their register names, acronyms, and hex address or hex address range. For more detailed information on the register contents, bit names and their descriptions, see the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
Table 1-5. EMIFA Registers
HEX ADDRESS RANGE 0180 0000 0180 0004 0180 0008 0180 000C 0180 0010 0180 0014 0180 0018 0180 001C 0180 0020 0180 0024 - 0180 0040 0180 0044 0180 0048 0180 004C 0180 0050 0180 0054 0180 0058 - 0183 FFFF
ACRONYM GBLCTL CECTL1 CECTL0 - CECTL2 CECTL3 SDCTL SDTIM SDEXT - CESEC1 CESEC0 - CESEC2 CESEC3 -
REGISTER NAME EMIFA global control EMIFA CE1 space control EMIFA CE0 space control Reserved EMIFA CE2 space control EMIFA CE3 space control EMIFA SDRAM control EMIFA SDRAM refresh control Reserved EMIFA CE1 space secondary control EMIFA CE0 space secondary control Reserved EMIFA CE2 space secondary control EMIFA CE3 space secondary control Reserved EMIFA SDRAM extension
COMMENTS
Table 1-6. L2 Cache Registers (C64x)
HEX ADDRESS RANGE 0184 0000 0184 2000 0184 2004 0184 2008 0184 200C 0184 4000 0184 4004 0184 4010 0184 4014 0184 4020 0184 4024 0184 4030 0184 4034 0184 5000 0184 5004 ACRONYM CCFG - L2ALLOC0 L2ALLOC1 L2ALLOC2 L2ALLOC3 - L2FBAR L2FWC L2CBAR L2CWC L1PFBAR L1PFWC L1DFBAR L1DFWC - L2FLUSH L2CLEAN Reserved L2 allocation register 0 L2 allocation register 1 L2 allocation register 2 L2 allocation register 3 Reserved L2 flush base address register L2 flush word count register L2 clean base address register L2 clean word count register L1P flush base address register L1P flush word count register L1D flush base address register L1D flush word count register Reserved L2 flush register L2 clean register REGISTER NAME Cache configuration register COMMENTS
June 2003
SPRS222
27
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-6. L2 Cache Registers (C64x) (Continued)
HEX ADDRESS RANGE ACRONYM - 0184 8000 -0184 81FC 0184 8200 0184 8204 0184 8208 0184 820C 0184 8210 0184 8214 0184 8218 0184 821C 0184 8220 MAR0 to MAR127 MAR128 MAR129 MAR130 MAR131 MAR132 MAR133 MAR134 MAR135 MAR136 MAR137 MAR138 MAR139 MAR140 MAR141 MAR142 MAR143 MAR144 MAR145 MAR146 MAR147 MAR148 MAR149 MAR150 MAR151 MAR152 MAR153 MAR154 MAR155 MAR156 MAR157 MAR158 MAR159 MAR160 MAR161 MAR162 MAR163 MAR164 MAR165 MAR166 Reserved Reserved Controls EMIFA CE0 range 8000 0000 - 80FF FFFF Controls EMIFA CE0 range 8100 0000 - 81FF FFFF Controls EMIFA CE0 range 8200 0000 - 82FF FFFF Controls EMIFA CE0 range 8300 0000 - 83FF FFFF Controls EMIFA CE0 range 8400 0000 - 84FF FFFF Controls EMIFA CE0 range 8500 0000 - 85FF FFFF Controls EMIFA CE0 range 8600 0000 - 86FF FFFF Controls EMIFA CE0 range 8700 0000 - 87FF FFFF Controls EMIFA CE0 range 8800 0000 - 88FF FFFF Controls EMIFA CE0 range 8900 0000 - 89FF FFFF Controls EMIFA CE0 range 8A00 0000 - 8AFF FFFF Controls EMIFA CE0 range 8B00 0000 - 8BFF FFFF Controls EMIFA CE0 range 8C00 0000 - 8CFF FFFF Controls EMIFA CE0 range 8D00 0000 - 8DFF FFFF Controls EMIFA CE0 range 8E00 0000 - 8EFF FFFF Controls EMIFA CE0 range 8F00 0000 - 8FFF FFFF Controls EMIFA CE1 range 9000 0000 - 90FF FFFF Controls EMIFA CE1 range 9100 0000 - 91FF FFFF Controls EMIFA CE1 range 9200 0000 - 92FF FFFF Controls EMIFA CE1 range 9300 0000 - 93FF FFFF Controls EMIFA CE1 range 9400 0000 - 94FF FFFF Controls EMIFA CE1 range 9500 0000 - 95FF FFFF Controls EMIFA CE1 range 9600 0000 - 96FF FFFF Controls EMIFA CE1 range 9700 0000 - 97FF FFFF Controls EMIFA CE1 range 9800 0000 - 98FF FFFF Controls EMIFA CE1 range 9900 0000 - 99FF FFFF Controls EMIFA CE1 range 9A00 0000 - 9AFF FFFF Controls EMIFA CE1 range 9B00 0000 - 9BFF FFFF Controls EMIFA CE1 range 9C00 0000 - 9CFF FFFF Controls EMIFA CE1 range 9D00 0000 - 9DFF FFFF Controls EMIFA CE1 range 9E00 0000 - 9EFF FFFF Controls EMIFA CE1 range 9F00 0000 - 9FFF FFFF Controls EMIFA CE2 range A000 0000 - A0FF FFFF Controls EMIFA CE2 range A100 0000 - A1FF FFFF Controls EMIFA CE2 range A200 0000 - A2FF FFFF Controls EMIFA CE2 range A300 0000 - A3FF FFFF Controls EMIFA CE2 range A400 0000 - A4FF FFFF Controls EMIFA CE2 range A500 0000 - A5FF FFFF Controls EMIFA CE2 range A600 0000 - A6FF FFFF REGISTER NAME COMMENTS
PRODUCT PREVIEW
0184 8224 0184 8228 0184 822C 0184 8230 0184 8234 0184 8238 0184 823C 0184 8240 0184 8244 0184 8248 0184 824C 0184 8250 0184 8254 0184 8258 0184 825C 0184 8260 0184 8264 0184 8268 0184 826C 0184 8270 0184 8274 0184 8278 0184 827C 0184 8280 0184 8284 0184 8288 0184 828C 0184 8290 0184 8294 0184 8298
28
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-6. L2 Cache Registers (C64x) (Continued)
HEX ADDRESS RANGE 0184 829C 0184 82A0 0184 82A4 0184 82A8 0184 82AC 0184 82B0 0184 82B4 0184 82B8 0184 82BC 0184 82C0 0184 82C4 0184 82C8 0184 82CC 0184 82D0 0184 82D4 0184 82D8 0184 82DC 0184 82E0 0184 82E4 0184 82E8 0184 82EC 0184 82F0 0184 82F4 0184 82F8 0184 82FC 0184 8300 -0184 83FC 0184 8400 -0187 FFFF ACRONYM MAR167 MAR168 MAR169 MAR170 MAR171 MAR172 MAR173 MAR174 MAR175 MAR176 MAR177 MAR178 MAR179 MAR180 MAR181 MAR182 MAR183 MAR184 MAR185 MAR186 MAR187 MAR188 MAR189 MAR190 MAR191 MAR192 to MAR255 - REGISTER NAME Controls EMIFA CE2 range A700 0000 - A7FF FFFF Controls EMIFA CE2 range A800 0000 - A8FF FFFF Controls EMIFA CE2 range A900 0000 - A9FF FFFF Controls EMIFA CE2 range AA00 0000 - AAFF FFFF Controls EMIFA CE2 range AB00 0000 - ABFF FFFF Controls EMIFA CE2 range AC00 0000 - ACFF FFFF Controls EMIFA CE2 range AD00 0000 - ADFF FFFF Controls EMIFA CE2 range AE00 0000 - AEFF FFFF Controls EMIFA CE2 range AF00 0000 - AFFF FFFF Controls EMIFA CE3 range B000 0000 - B0FF FFFF Controls EMIFA CE3 range B100 0000 - B1FF FFFF Controls EMIFA CE3 range B200 0000 - B2FF FFFF Controls EMIFA CE3 range B400 0000 - B4FF FFFF Controls EMIFA CE3 range B500 0000 - B5FF FFFF Controls EMIFA CE3 range B600 0000 - B6FF FFFF Controls EMIFA CE3 range B700 0000 - B7FF FFFF Controls EMIFA CE3 range B800 0000 - B8FF FFFF Controls EMIFA CE3 range B900 0000 - B9FF FFFF Controls EMIFA CE3 range BA00 0000 - BAFF FFFF Controls EMIFA CE3 range BB00 0000 - BBFF FFFF Controls EMIFA CE3 range BC00 0000 - BCFF FFFF Controls EMIFA CE3 range BD00 0000 - BDFF FFFF Controls EMIFA CE3 range BE00 0000 - BEFF FFFF Controls EMIFA CE3 range BF00 0000 - BFFF FFFF Reserved Reserved Controls EMIFA CE3 range B300 0000 - B3FF FFFF COMMENTS
Table 1-7. Quick DMA (QDMA) and Pseudo Registers
HEX ADDRESS RANGE 0200 0000 0200 0004 0200 0008 0200 000C 0200 0010 0200 0014 - 0200 001C 0200 0020 0200 0024 0200 0028 0200 002C 0200 0030 QSOPT QSSRC QSCNT QSDST QSIDX ACRONYM QOPT QSRC QCNT QDST QIDX REGISTER NAME QDMA options parameter register QDMA source address register QDMA frame count register QDMA destination address register QDMA index register Reserved QDMA pseudo options register QDMA psuedo source address register QDMA psuedo frame count register QDMA destination address register QDMA psuedo index register
June 2003
SPRS222
29
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-8. EDMA Registers (C64x)
HEX ADDRESS RANGE 01A0 0800 - 01A0 FF98 01A0 FF9C 01A0 FFA4 01A0 FFA8 01A0 FFAC 01A0 FFB0 01A0 FFB4 01A0 FFB8 01A0 FFBC 01A0 FFC0 01A0 FFC4 01A0 FFC8 01A0 FFCC 01A0 FFDC ACRONYM - EPRH CIPRH CIERH CCERH ERH EERH ECRH ESRH PQAR0 PQAR1 PQAR2 PQAR3 EPRL PQSR CIPRL CIERL CCERL ERL EERL ECRL ESRL - Reserved Event polarity high register Channel interrupt pending high register Channel interrupt enable high register Channel chain enable high register Event high register Event enable high register Event clear high register Event set high register Priority queue allocation register 0 Priority queue allocation register 1 Priority queue allocation register 2 Priority queue allocation register 3 Event polarity low register Priority queue status register Channel interrupt pending low register Channel interrupt enable low register Channel chain enable low register Event low register Event enable low register Event clear low register Event set low register Reserved REGISTER NAME
PRODUCT PREVIEW
01A0 FFE0 01A0 FFE4 01A0 FFE8 01A0 FFEC 01A0 FFF0 01A0 FFF4 01A0 FFF8 01A0 FFFC 01A1 0000 - 01A3 FFFF
Table 1-9. EDMA Parameter RAM (C64x)
HEX ADDRESS RANGE 01A0 0000 - 01A0 0017 01A0 0018 - 01A0 002F 01A0 0030 - 01A0 0047 01A0 0048 - 01A0 005F 01A0 0060 - 01A0 0077 01A0 0078 - 01A0 008F 01A0 0090 - 01A0 00A7 01A0 00A8 - 01A0 00BF 01A0 00C0 - 01A0 00D7 01A0 00D8 - 01A0 00EF 01A0 00F0 - 01A0 00107 01A0 0108 - 01A0 011F 01A0 0120 - 01A0 0137 01A0 0138 - 01A0 014F ACRONYM - - - - - - - - - - - - - - REGISTER NAME Parameters for Event 0 (6 words) Parameters for Event 1 (6 words) Parameters for Event 2 (6 words) Parameters for Event 3 (6 words) Parameters for Event 4 (6 words) Parameters for Event 5 (6 words) Parameters for Event 6 (6 words) Parameters for Event 7 (6 words) Parameters for Event 8 (6 words) Parameters for Event 9 (6 words) Parameters for Event 10 (6 words) Parameters for Event 11 (6 words) Parameters for Event 12 (6 words) COMMENTS Parameters for Event 0 (6 words) or Reload/Link Parameters for other Event
Parameters for Event 13 (6 words) The DM64x device has 213 EDMA parameters total: 64-Event/Reload channels and 149-Reload only parameter sets [six (6) words each] that can be used to reload/link EDMA transfers.
30
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-9. EDMA Parameter RAM (C64x) (Continued)
HEX ADDRESS RANGE 01A0 0150 - 01A0 0167 01A0 0168 - 01A0 017F 01A0 0150 - 01A0 0167 01A0 0168 - 01A0 017F ... 01A0 05D0 - 01A0 05E7 01A0 05E8 - 01A0 05FF 01A0 0600 - 01A0 0617 01A0 0618 - 01A0 062F ... 01A0 07E0 - 01A0 07F7 01A0 07F8 - 01A0 07FF 01A0 0800 - 01A0 0817 ... 01A0 13C8 - 01A0 13DF 01A0 13E0 - 01A0 13F7 01A0 13F8 - 01A0 13FF - - - - - - - - - - ACRONYM - - - - REGISTER NAME Parameters for Event 14 (6 words) Parameters for Event 15 (6 words) Parameters for Event 16 (6 words) Parameters for Event 17 (6 words) ... Parameters for Event 62 (6 words) Parameters for Event 63 (6 words) Reload/link parameters for Event 0 (6 words) Reload/link parameters for Event 1 (6 words) ... Reload/link parameters for Event 20 (6 words) Reload/link parameters for Event 21 (6 words) Reload/link parameters for Event 22 (6 words) Reload/link parameters for Event 147 (6 words) Reload/link parameters for Event 148 (6 words) Scratch pad area (2 words) ... Reload/Link Parameters for other Event 0-15 COMMENTS
01A0 1400 - 01A3 FFFF - Reserved The DM64x device has 213 EDMA parameters total: 64-Event/Reload channels and 149-Reload only parameter sets [six (6) words each] that can be used to reload/link EDMA transfers.
Table 1-10. Interrupt Selector Registers (C64x)
HEX ADDRESS RANGE 019C 0000 019C 0004 019C 0008 019C 000C - 019C 01FF 019C 0200 019C 0204 - 019F FFFF ACRONYM MUXH MUXL EXTPOL - PDCTL - REGISTER NAME Interrupt multiplexer high Interrupt multiplexer low External interrupt polarity Reserved Peripheral power-down control register (see Table 1-11) Reserved COMMENTS Selects which interrupts drive CPU interrupts 10-15 (INT10-INT15) Selects which interrupts drive CPU interrupts 4-9 (INT04-INT09) Sets the polarity of the external interrupts (EXT_INT4-EXT_INT7)
Table 1-11. Peripheral Power-Down Control Register
HEX ADDRESS RANGE 019C 0200 ACRONYM PDCTL REGISTER NAME Peripheral power-down control register
Table 1-12. Ethernet MAC (EMAC) Registers
HEX ADDRESS RANGE 01C8 0000 01C8 0004 01C8 0008 01C8 000F 01C8 0010 ACRONYM TXIDVER TXCONTROL TXTEARDOWN - RXIDVER REGISTER NAME Transmit Identification and Version Register Transmit Control Register Transmit Teardown Register Reserved Receive Identification and Version Register
June 2003
SPRS222
31
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-12. Ethernet MAC (EMAC) Registers (Continued)
HEX ADDRESS RANGE 01C8 0014 01C8 0018 01C8 001C - 01C8 00FF 01C8 0100 01C8 0104 01C8 0108 01C8 010C 01C8 0110 01C8 0114 01C8 0118 - 01C8 011F 01C8 0120 01C8 0124 01C8 0128 ACRONYM RXCONTROL RXTEARDOWN - RXMBPENABLE RXUNICASTSET RXUNICASTCLEAR RXMAXLEN RXBUFFEROFFSET RXFILTERLOWTHRESH - RX0FLOWTHRESH RX1FLOWTHRESH RX2FLOWTHRESH RX3FLOWTHRESH RX4FLOWTHRESH RX5FLOWTHRESH RX6FLOWTHRESH RX7FLOWTHRESH RX0FREEBUFFER RX1FREEBUFFER RX2FREEBUFFER RX3FREEBUFFER RX4FREEBUFFER RX5FREEBUFFER RX6FREEBUFFER RX7FREEBUFFER MACCONTROL MACSTATUS EMCONTROL - TXINTSTATRAW TXINTSTATMASKED TXINTMASKSET TXINTMASKCLEAR MACINVECTOR MACEOIVECTOR - RXINTSTATRAW RXINTSTATMASKED RXINTMASKSET RXINTMASKCLEAR MACINTSTATRAW MACINTSTATMASKED REGISTER NAME Receive Control Register Receive Teardown Register Reserved Receive Multicast/Broadcast/Promiscuous Channel Enable Register Receive Unicast Set Register Receive Unicast Clear Register Receive Maximum Length Register Receive Buffer Offset Register Receive Filter Low Priority Packets Threshold Register Reserved Receive Channel 0 Flow Control Threshold Register Receive Channel 1 Flow Control Threshold Register Receive Channel 2 Flow Control Threshold Register Receive Channel 3 Flow Control Threshold Register Receive Channel 4 Flow Control Threshold Register Receive Channel 5 Flow Control Threshold Register Receive Channel 6 Flow Control Threshold Register Receive Channel 7 Flow Control Threshold Register Receive Channel 0 Free Buffer Count Register Receive Channel 1 Free Buffer Count Register Receive Channel 2 Free Buffer Count Register Receive Channel 3 Free Buffer Count Register Receive Channel 4 Free Buffer Count Register Receive Channel 5 Free Buffer Count Register Receive Channel 6 Free Buffer Count Register Receive Channel 7 Free Buffer Count Register MAC Control Register MAC Status Register Emulation Control Register Reserved Transmit Interrupt Status (Unmasked) Register Transmit Interrupt Status (Masked) Register Transmit Interrupt Mask Set Register Transmit Interrupt Mask Clear Register MAC Input Vector Register MAC EOI Vector Register Reserved Receive Interrupt Status (Unmasked) Register Receive Interrupt Status (Masked) Register Receive Interrupt Mask Set Register Receive Interrupt Mask Clear Register MAC Interrupt Status (Unmasked) Register MAC Interrupt Status (Masked) Register
PRODUCT PREVIEW
01C8 012C 01C8 0130 01C8 0134 01C8 0138 01C8 013C 01C8 0140 01C8 0144 01C8 0148 01C8 014C 01C8 0150 01C8 0154 01C8 0158 01C8 015C 01C8 0160 01C8 0164 01C8 0168 01C8 016C 01C8 0170 01C8 0174 01C8 0178 01C8 017C 01C8 0180 01C8 0184 01C8 0188 - 01C8 018F 01C8 0190 01C8 0194 01C8 0198 01C8 019C 01C8 01A0 01C8 01A4
32
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-12. Ethernet MAC (EMAC) Registers (Continued)
HEX ADDRESS RANGE 01C8 01A8 01C8 01AC 01C8 01B0 01C8 01B4 01C8 01B8 01C8 01BC 01C8 01C0 01C8 01C4 01C8 01C8 01C8 01CC 01C8 01D0 01C8 01D4 01C8 01D8 01C8 01DC 01C8 01E0 01C8 01E4 01C8 01E8 01C8 01EC 01C8 01F0 - 01C8 01FF 01C8 0200 01C8 0204 01C8 0208 01C8 020C 01C8 0210 01C8 0214 01C8 0218 01C8 021C 01C8 0220 01C8 0224 01C8 0228 01C8 022C 01C8 0230 01C8 0234 01C8 0238 01C8 023C 01C8 0240 01C8 0244 01C8 0248 01C8 024C 01C8 0250 01C8 0254 01C8 0258 01C8 025C 01C8 0260 ACRONYM MACINTMASKSET MACINTMASKCLEAR MACADDRL0 MACADDRL1 MACADDRL2 MACADDRL3 MACADDRL4 MACADDRL5 MACADDRL6 MACADDRL7 MACADDRM MACADDRH MACHASH1 MACHASH2 BOFFTEST TPACETEST RXPAUSE TXPAUSE - RXGOODFRAMES RXBCASTFRAMES RXMCASTFRAMES RXPAUSEFRAMES RXCRCERRORS RXALIGNCODEERRORS RXOVERSIZED RXJABBER RXUNDERSIZED RXFRAGMENTS RXFILTERED RXQOSFILTERED RXOCTETS TXGOODFRAMES TXBCASTFRAMES TXMCASTFRAMES TXPAUSEFRAMES TXDEFERRED TXCOLLISION TXSINGLECOLL TXMULTICOLL TXEXCESSIVECOLL TXLATECOLL TXUNDERRUN TXCARRIERSLOSS REGISTER NAME MAC Interrupt Mask Set Register MAC Interrupt Mask Clear Register MAC Address Channel 0 Lower Byte Register MAC Address Channel 1 Lower Byte Register MAC Address Channel 2 Lower Byte Register MAC Address Channel 3 Lower Byte Register MAC Address Channel 4 Lower Byte Register MAC Address Channel 5 Lower Byte Register MAC Address Channel 6 Lower Byte Register MAC Address Channel 7 Lower Byte Register MAC Address Middle Byte Register MAC Address High Bytes Register MAC Address Hash 1 Register MAC Address Hash 2 Register Backoff Test Register Transmit Pacing Test Register Receive Pause Timer Register Transmit Pause Timer Register Reserved Good Receive Frames Register Broadcast Receive Frames Register Multicast Receive Frames Register Pause Receive Frames Register Receive CRC Errors Register Receive Alignment/Code Errors Register Receive Oversized Frames Register Receive Jabber Frames Register Receive Undersized Frames Register Receive Frame Fragments Register Filtered Receive Frames Register Receive QOS Filtered Frames Register Receive Octet Frames Register Good Transmit Frames Register Broadcast Transmit Frames Register Multicast Transmit Frames Register Pause Transmit Frames Register Deferred Transmit Frames Register Collision Register Single Collision Transmit Frames Register Multiple Collision Transmit Frames Register Excessive Collisions Register Late Collisions Register Transmit Underrun Register Transmit Carrier Sense Errors Register
June 2003
SPRS222
33
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-12. Ethernet MAC (EMAC) Registers (Continued)
HEX ADDRESS RANGE 01C8 0264 01C8 0268 01C8 026C 01C8 0270 01C8 0274 01C8 0278 01C8 027C 01C8 0280 01C8 0284 01C8 0288 01C8 028C 01C8 0290 - 01C8 02FF ACRONYM TXOCTETS FRAME64 FRAME65T127 FRAME128T255 FRAME256T511 FRAME512T1023 FRAME1024TUP NETOCTETS RXSOFOVERRUNS RXMOFOVERRUNS RXDMAOVERRUNS - RXFIFO TXFIFO - TX0HDP TX1HDP TX2HDP TX3HDP TX4HDP TX5HDP TX6HDP TX7HDP RX0HDP RX1HDP RX2HDP RX3HDP RX4HDP RX5HDP RX6HDP REGISTER NAME Transmit Octet Frames Register Transmit and Receive 64 Octet Frames Register Transmit and Receive 65 to 127 Octet Frames Register Transmit and Receive 128 to 255 Octet Frames Register Transmit and Receive 256 to 511 Octet Frames Register Transmit and Receive 512 to 1023 Octet Frames Register Transmit and Receive 1024 or Above Octet Frames Register Network Octet Frames Register Receive Start of Frame Overruns Register Receive Middle of Frame Overruns Register Receive DMA Overruns Register Reserved Processor Test Access Processor Test Access Reserved Transmit Channel 0 DMA Head Descriptor Pointer Register Transmit Channel 1 DMA Head Descriptor Pointer Register Transmit Channel 2 DMA Head Descriptor Pointer Register Transmit Channel 3 DMA Head Descriptor Pointer Register Transmit Channel 4 DMA Head Descriptor Pointer Register Transmit Channel 5 DMA Head Descriptor Pointer Register Transmit Channel 6 DMA Head Descriptor Pointer Register Transmit Channel 7 DMA Head Descriptor Pointer Register Receive Channel 0 DMA Head Descriptor Pointer Register Receive Channel 1 DMA Head Descriptor Pointer Register Receive Channel 2 DMA Head Descriptor Pointer Register Receive Channel 3 DMA Head Descriptor Pointer Register Receive Channel 4 DMA Head Descriptor Pointer Register Receive Channel 5 DMA Head Descriptor Pointer Register Receive Channel 6 DMA Head Descriptor Pointer Register
PRODUCT PREVIEW
01C8 0300 - 01C8 03FF 01C8 0400 - 01C8 04FF 01C8 0500 - 01C8 05FF 01C8 0600 01C8 0604 01C8 0608 01C8 060C 01C8 0610 01C8 0614 01C8 0618 01C8 061C 01C8 0620 01C8 0624 01C8 0628 01C8 062C 01C8 0630 01C8 0634 01C8 0638
34
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-12. Ethernet MAC (EMAC) Registers (Continued)
HEX ADDRESS RANGE 01C8 063C 01C8 0640 01C8 0644 01C8 0648 01C8 064C 01C8 0650 01C8 0654 01C8 0658 01C8 065C 01C8 0660 01C8 0664 01C8 0668 01C8 066C 01C8 0670 01C8 0674 01C8 0678 01C8 067C 01C8 0680 - 01C8 06FF 01C8 0700 - 01C8 077F 01C8 0780 - 01C8 0FFF ACRONYM RX7HDP TX0INTACK TX1INTACK TX2INTACK TX3INTACK TX4INTACK TX5INTACK TX6INTACK TX7INTACK RX0INTACK RX1INTACK RX2INTACK RX3INTACK RX4INTACK RX5INTACK RX6INTACK RX7INTACK - - - REGISTER NAME Receive Channel 7 DMA Head Descriptor Pointer Register Transmit Channel 0 Interrupt Acknowledge Register Transmit Channel 1 Interrupt Acknowledge Register Transmit Channel 2 Interrupt Acknowledge Register Transmit Channel 3 Interrupt Acknowledge Register Transmit Channel 4 Interrupt Acknowledge Register Transmit Channel 5 Interrupt Acknowledge Register Transmit Channel 6 Interrupt Acknowledge Register Transmit Channel 7 Interrupt Acknowledge Register Receive Channel 0 Interrupt Acknowledge Register Receive Channel 1 Interrupt Acknowledge Register Receive Channel 2 Interrupt Acknowledge Register Receive Channel 3 Interrupt Acknowledge Register Receive Channel 5 Interrupt Acknowledge Register Receive Channel 6 Interrupt Acknowledge Register Receive Channel 7 Interrupt Acknowledge Register Reserved State RAM Test Access - Processor read and write access to head descriptor pointers and interrupt acknowledge registers. Reserved Receive Channel 4 Interrupt Acknowledge Register
June 2003
SPRS222
35
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-13. EMAC Wrapper
HEX ADDRESS RANGE 01C8 1000 - 01C8 1FFF 01C8 2000 - 01C8 2FFF - ACRONYM Reserved REGISTER NAME EMAC Control Module Descriptor Memory
Table 1-14. EWRAP Registers
HEX ADDRESS RANGE 01C8 3000 01C8 3004 01C8 3008 01C8 300C - 01C8 37FF ACRONYM EWTRCTRL EWCTL EWINTTCNT - TR control Interrupt control register Interrupt timer count Reserved REGISTER NAME
Table 1-15. Device Configuration Registers
HEX ADDRESS RANGE ACRONYM REGISTER NAME COMMENTS Enables or disables specific peripherals. This register is also used for power-down of disabled peripherals. Read-only. Provides status of the User's device configuration on reset. Read-only. Provides JTAG ID of the device. 32-bit
01B3 F000
PERCFG
Peripheral Configuration Register
PRODUCT PREVIEW
01B3 F004
DEVSTAT
Device Status Register
01B3 F008 01B3 F00C - 01B3 F014 01B3 F018 01B3 F01C - 01B3 FFFF
JTAGID - PCFGLOCK -
JTAG Identification Register Reserved Peripheral Configuration Lock Register Reserved
36
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-16. McBSP 0 Registers
HEX ADDRESS RANGE 018C 0000 0x3000 0000 - 0x33FF FFFF 018C 0004 0x3000 0000 - 0x33FF FFFF 018C 0008 018C 000C 018C 0010 018C 0014 018C 0018 018C 001C 018C 0020 018C 0024 018C 0028 018C 002C 018C 0030 018C 0034 018C 0038 018C 003C 018C 0040 - 018F FFFF ACRONYM DRR0 DRR0 DXR0 DXR0 SPCR0 RCR0 XCR0 SRGR0 MCR0 RCERE00 XCERE00 PCR0 RCERE10 XCERE10 RCERE20 XCERE20 RCERE30 XCERE30 - REGISTER NAME McBSP0 data receive register via Configuration Bus McBSP0 data receive register via Peripheral Bus McBSP0 data transmit register via Configuration Bus McBSP0 data transmit register via Peripheral Bus McBSP0 serial port control register McBSP0 receive control register McBSP0 transmit control register McBSP0 sample rate generator register McBSP0 multichannel control register McBSP0 enhanced receive channel enable register 0 McBSP0 enhanced transmit channel enable register 0 McBSP0 pin control register McBSP0 enhanced transmit channel enable register 1 McBSP0 enhanced receive channel enable register 2 McBSP0 enhanced transmit channel enable register 2 McBSP0 enhanced receive channel enable register 3 McBSP0 enhanced transmit channel enable register 3 Reserved McBSP0 enhanced receive channel enable register 1 COMMENTS The CPU and EDMA controller can only read this register; they cannot write to it.
Table 1-17. McBSP 1 Registers
HEX ADDRESS RANGE 0190 0000 0x3400 0000 - 0x37FF FFFF 0190 0004 0x3400 0000 - 0x37FF FFFF 0190 0008 0190 000C 0190 0010 0190 0014 0190 0018 0190 001C 0190 0020 0190 0024 0190 0028 0190 002C 0190 0030 0190 0034 0190 0038 0190 003C 0190 0040 - 0193 FFFF ACRONYM DRR1 DRR1 DXR1 DXR1 SPCR1 RCR1 XCR1 SRGR1 MCR1 RCERE01 XCERE01 PCR1 RCERE11 XCERE11 RCERE21 XCERE21 RCERE31 XCERE31 - REGISTER NAME McBSP1 data receive register via Configuration Bus McBSP1 data receive register via peripheral bus McBSP1 data transmit register via configuration bus McBSP1 data transmit register via peripheral bus McBSP1 serial port control register McBSP1 receive control register McBSP1 transmit control register McBSP1 sample rate generator register McBSP1 multichannel control register McBSP1 enhanced receive channel enable register 0 McBSP1 enhanced transmit channel enable register 0 McBSP1 pin control register McBSP1 enhanced receive channel enable register 1 McBSP1 enhanced transmit channel enable register 1 McBSP1 enhanced receive channel enable register 2 McBSP1 enhanced transmit channel enable register 2 McBSP1 enhanced receive channel enable register 3 McBSP1 enhanced transmit channel enable register 3 Reserved COMMENTS The CPU and EDMA controller can only read this register; they cannot write to it.
June 2003
SPRS222
37
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-18. Timer 0 Registers
HEX ADDRESS RANGE 0194 0000 0194 0004 0194 0008 0194 000C - 0197 FFFF ACRONYM CTL0 PRD0 CNT0 - REGISTER NAME Timer 0 control register Timer 0 period register Timer 0 counter register Reserved COMMENTS Determines the operating mode of the timer, monitors the timer status, and controls the function of the TOUT pin. Contains the number of timer input clock cycles to count. This number controls the TSTAT signal frequency. Contains the current value of the incrementing counter.
Table 1-19. Timer 1 Registers
HEX ADDRESS RANGE 0198 0000 0198 0004 0198 0008 0198 000C - 019B FFFF ACRONYM CTL1 PRD1 CNT1 - REGISTER NAME Timer 1 control register Timer 1 period register Timer 1 counter register Reserved COMMENTS Determines the operating mode of the timer, monitors the timer status, and controls the function of the TOUT pin. Contains the number of timer input clock cycles to count. This number controls the TSTAT signal frequency. Contains the current value of the incrementing counter.
PRODUCT PREVIEW
Table 1-20. Timer 2 Registers
HEX ADDRESS RANGE 01AC 0000 01AC 0004 01AC 0008 01AC 000C - 01AF FFFF ACRONYM CTL2 PRD2 CNT2 - REGISTER NAME Timer 2 control register Timer 2 period register Timer 2 counter register Reserved COMMENTS Determines the operating mode of the timer, monitors the timer status. Contains the number of timer input clock cycles to count. This number controls the TSTAT signal frequency. Contains the current value of the incrementing counter.
Table 1-21. HPI Registers [DM641 Only]
HEX ADDRESS RANGE - 0188 0000 0188 0004 0188 0008 ACRONYM HPID HPIC HPIA (HPIAW) HPIA (HPIAR) REGISTER NAME HPI data register HPI control register HPI address register (Write) HPI address register (Read) HPIA has both Host/CPU read/write access COMMENTS Host read/write access only HPIC has both Host/CPU read/write access
0188 0001 - 018B FFFF - Reserved Host access to the HPIA register updates both the HPIAW and HPIAR registers. The CPU can access HPIAW and HPIAR independently.
38
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-22. GP0 Registers
HEX ADDRESS RANGE 01B0 0000 01B0 0004 01B0 0008 01B0 000C 01B0 0010 01B0 0014 01B0 0018 01B0 001C 01B0 0020 01B0 0024 01B0 0028 - 01B3 EFFF ACRONYM GPEN GPDIR GPVAL - GPDH GPHM GPDL GPLM GPGC GPPOL - REGISTER NAME GP0 enable register GP0 direction register GP0 value register Reserved GP0 delta high register GP0 high mask register GP0 delta low register GP0 low mask register GP0 global control register GP0 interrupt polarity register Reserved
Table 1-23. VCXO Interpolated Control (VIC) Port Registers
HEX ADDRESS RANGE 01C4 C000 01C4 C004 01C4 C008 01C4 C00C - 01C4 FFFF ACRONYM VICCTL VICIN VPDIV - REGISTER NAME VIC control register VIC input register VIC clock divider register Reserved
Table 1-24. MDIO Registers
HEX ADDRESS RANGE 01C8 3800 01C8 3804 01C8 3808 01C8 380C 01C8 3810 01C8 3814 01C8 3818 01C8 381C 01C8 3820 01C8 3824 01C8 3828 01C8 382C 01C8 3830 01C8 3834 01C8 3838 - 01C8 3FFF ACRONYM VERSION CONTROL ALIVE LINK LINKINTRAW LINKINTMASKED USERINTRAW USERINTMASKED USERINTMASKSET USERINTMASKCLEAR USERACCESS0 USERACCESS1 USERPHYSEL0 USERPHYSEL1 - MDIO Version Register MDIO Control Register MDIO PHY Alive Indication Register MDIO PHY Link Status Register MDIO Link Status Change Interrupt Register MDIO Link Status Change Interrupt (Masked) Register MDIO User Command Complete Interrupt Register MDIO User Command Complete Interrupt (Masked) Register MDIO User Command Complete Interrupt Mask Set Register MDIO User Command Complete Interrupt Mask Clear Register MDIO User Access Register 0 MDIO User Access Register 1 MDIO User PHY Select Register 0 MDIO User PHY Select Register 1 Reserved REGISTER NAME
June 2003
SPRS222
39
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-25. Video Port 0 and 1 (VP0 and VP1) Control Registers
HEX ADDRESS RANGE VP0 01C4 0000 01C4 0004 01C4 01C4 01C4 0020 01C4 0024 01C4 0028 01C4 002C 01C4 0030 01C4 0034 VP1 [DM641 ONLY] 01C4 4000 01C4 4004 01C4 01C4 01C4 4020 01C4 4024 01C4 4028 01C4 402C 01C4 4030 01C4 4034 01C4 4038 01C4 403C 01C4 4040 01C4 4044 01C4 40C0 01C4 40C4 01C4 40C8 01C4 40CC 01C4 4100 01C4 4104 01C4 4108 01C4 410C 01C4 4110 01C4 4114 01C4 4118 01C4 411C 01C4 4120 01C4 4180 01C4 4184 01C4 4188 01C4 418C 01C4 4190 01C4 4194 01C4 4198 01C4 419C 01C4 41A0 01C4 41A4 01C4 4200 01C4 4204 01C4 4208 VP_PFUNCx VP_PDIRx VP_PDINx VP_PDOUTx VP_PDSETx VP_PDCLRx VP_PIENx VP_PIPOx VP_PISTATx VP_PICLRx VP_CTLx VP_STATx VP_IEx VP_ISx VC_STATx VC_CTLx VC_ASTRTx VC_ASTOPx VC_ASTRTx VC_ASTOPx VC_AVINTx VC_ATHRLDx VC_AEVTCTx TSI_CTLx TSI_CLKINITLx TSI_CLKINITMx TSI_STCLKLx TSI_STCLKMx TSI_STCMPLx TSI_STCMPMx TSI_STMSKLx TSI_STMSKMx TSI_TICKSx VD_STATx VD_CTLx VD_FRMSZx ACRONYM VP_PIDx VP_PCRx DESCRIPTION Video Port Peripheral Identification Register Video Port Peripheral Control Register Reserved Reserved Video Port Pin Function Register Video Port Pin Direction Register Video Port Pin Data Input Register Video Port Pin Data Output Register Video Port Pin Data Set Register Video Port Pin Data Clear Register Video Port Pin Interrupt Enable Register Video Port Pin Interrupt Polarity Register Video Port Pin Interrupt Status Register Video Port Pin Interrupt Clear Register Video Port Control Register Video Port Status Register Video Port Interrupt Enable Register Video Port interrupt Status Register Video Capture Channel A Status Register Video Capture Channel A Control Register Video Capture Channel A Field 1 Start Register Video Capture Channel A Field 2 Stop Register Video Capture Channel A Field 2 Start Register Video Capture Channel A Field 1 Stop Register Video Capture Channel A Vertical Interrupt Register Video Capture Channel A Threshold Register Video Capture Channel A Event Count Register TCI Capture Control Register TCI Clock Initialization LSB Register TCI Clock Initialization MSB Register TCI System Time Clock LSB Register TCI System Time Clock MSB Register TCI System Time Clock Compare LSB Register TCI System Time Clock Compare MSB Register TCI System Time Clock Compare Mask LSB Register TCI System Time Clock Compare Mask MSB Register TCI System Time Clock Ticks Interrupt Register Video Display Status Register Video Display Control Register Video Display Frame Size Register
PRODUCT PREVIEW
40
01C4 0038 01C4 003C 01C4 0040 01C4 0044 01C4 00C0 01C4 00C4 01C4 00C8 01C4 00CC 01C4 0100 01C4 0104 01C4 0108 01C4 010C 01C4 0110 01C4 0114 01C4 0118 01C4 011C 01C4 0120 01C4 0180 01C4 0184 01C4 0188 01C4 018C 01C4 0190 01C4 0194 01C4 0198 01C4 019C 01C4 01A0 01C4 01A4 01C4 0200 01C4 0204 01C4 0208
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-25. Video Port 0 and 1 (VP0 and VP1) Control Registers (Continued)
HEX ADDRESS RANGE VP0 01C4 020C 01C4 0210 01C4 0214 01C4 0218 01C4 021C 01C4 0220 01C4 0224 01C4 0228 01C4 022C 01C4 0230 01C4 0234 01C4 0238 01C4 023C 01C4 0240 01C4 0244 01C4 0248 01C4 024C 01C4 0250 01C4 0254 01C4 0258 01C4 025C 01C4 0260 01C4 0264 01C4 0268 01C4 026C 7400 000 7400 0008 7400 0010 7400 0020 7400 0028 7400 0030 VP1 [DM641 ONLY] 01C4 420C 01C4 4210 01C4 4214 01C4 4218 01C4 421C 01C4 4220 01C4 4224 01C4 4228 01C4 422C 01C4 4230 01C4 4234 01C4 4238 01C4 423C 01C4 4240 01C4 4244 01C4 4248 01C4 424C 01C4 4250 01C4 4254 01C4 4258 01C4 425C 01C4 4260 01C4 4264 01C4 4268 01C4 426C 7800 0000 7800 0008 7800 0010 7800 0020 7800 0028 7800 0030 ACRONYM VD_HBLNKx VD_VBLKS1x VD_VBLKE1x VD_VBLKS2x VD_VBLKE2x VD_IMGOFF1x VD_IMGSZ1x VD_IMGOFF2x VD_IMGSZ2x VD_FLDT1x VD_FLDT2x VD_THRLDx VD_HSYNCx VD_VSYNS1x VD_VSYNE1x VD_VSYNS2x VD_VSYNE2x VD_RELOADx VD_DISPEVTx VD_CLIPx VD_DEFVALx VD_VINTx VD_FBITx VD_VBIT1x VD_VBIT2x Y_RSCA CB_SRCA CR_SRCA Y_DSTA CB_DST CR_DST DESCRIPTION Video Display Horizontal Blanking Register Video Display Field 1 Vertical Blanking Start Register Video Display Field 1 Vertical Blanking End Register Video Display Field 2 Vertical Blanking Start Register Video Display Field 2 Vertical Blanking End Register Video Display Field 1 Image Offset Register Video Display Field 1 Image Size Register Video Display Field 2 Image Offset Register Video Display Field 2 Image Size Register Video Display Field 1 Timing Register Video Display Threshold Register Video Display Horizontal Synchronization Register Video Display Field 1 Vertical Synchronization Start Register Video Display Field 1 Vertical Synchronization End Register Video Display Field 2 Vertical Synchronization Start Register Video Display Field 2 Vertical Synchronization End Register Video Display Counter Reload Register Video Display Display Event Register Video Display Clipping Register Video Display Default Display Value Register Video Display Vertical Interrupt Register Video Display Field Bit Register Video Display Field 1Vertical Blanking Bit Register Video Display Field 2Vertical Blanking Bit Register Y FIFO Source Register A CB FIFO Source Register A CR FIFO Source Register A Y FIFO Destination Register A CB FIFO Destination Register A CR FIFO Destination Register A Video Display Field 2 Timing Register
June 2003
SPRS222
41
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-26. McASP0 Control Registers
HEX ADDRESS RANGE 01B4 C000 01B4 C004 01B4 C008 01B4 C00C 01B4 C010 01B4 C014 01B4 C018 01B4 C01C 01B4 C020 01B4 C024 - 01B4 C040 01B4 C044 ACRONYM PID PWRDEMU - - PFUNC PDIR PDOUT PDIN/PDSET PDCLR - GBLCTL AMUTE DLBCTL DITCTL - RGBLCTL RMASK RFMT AFSRCTL ACLKRCTL AHCLKRCTL RTDM RINTCTL RSTAT RSLOT RCLKCHK - XGBLCTL XMASK XFMT AFSXCTL ACLKXCTL AHCLKXCTL XTDM XINTCTL XSTAT XSLOT XCLKCHK XEVTCTL REGISTER NAME Peripheral Identification register [Register value: 0x0010 0101] Power down and emulation management register Reserved Reserved Pin function register Pin direction register Pin data out register Pin data in / data set register Read returns: PDIN Writes affect: PDSET Pin data clear register Reserved Global control register Mute control register Digital Loop-back control register DIT mode control register Reserved Alias of GBLCTL containing only Receiver Reset bits, allows transmit to be reset independently from receive. Receiver format unit bit mask register Receive bit stream format register Receive frame sync control register Receive clock control register High-frequency receive clock control register Receive TDM slot 0-31 register Receiver interrupt control register Status register - Receiver Current receive TDM slot register Receiver clock check control register Reserved Alias of GBLCTL containing only Transmitter Reset bits, allows transmit to be reset independently from receive. Transmit format unit bit mask register Transmit bit stream format register Transmit frame sync control register Transmit clock control register High-frequency Transmit clock control register Transmit TDM slot 0-31 register Transmit interrupt control register Status register - Transmitter Current transmit TDM slot Transmit clock check control register Transmitter DMA control register
PRODUCT PREVIEW
01B4 C048 01B4 C04C 01B4 C050 01B4 C054 - 01B4 C05C 01B4 C060 01B4 C064 01B4 C068 01B4 C06C 01B4 C070 01B4 C074 01B4 C078 01B4 C07C 01B4 C080 01B4 C084 01B4 C088 01B4 C08C - 01B4 C09C 01B4 C0A0 01B4 C0A4 01B4 C0A8 01B4 C0AC 01B4 C0B0 01B4 C0B4 01B4 C0B8 01B4 C0BC 01B4 C0C0 01B4 C0C4 01B4 C0C8 01B4 C0CC
42
SPRS222
June 2003
Peripheral Register Descriptions
Table 1-26. McASP0 Control Registers (Continued)
HEX ADDRESS RANGE 01B4 C0D0 - 01B4 C0FC 01B4 C100 01B4 C104 01B4 C108 01B4 C10C 01B4 C110 01B4 C114 01B4 C118 01B4 C11C 01B4 C120 01B4 C124 01B4 C128 01B4 C12C 01B4 C130 01B4 C134 01B4 C138 01B4 C13C 01B4 C140 01B4 C144 01B4 C148 01B4 C14C 01B4 C150 01B4 C154 01B4 C158 01B4 C15C 01B4 C160 - 01B4 C17C 01B4 C180 01B4 C184 01B4 C188 01B4 C18C 01B4 C190 - 01B4 C1FC 01B4 C200 01B4 C204 01B4 C208 01B4 C20C 01B4 C210 - 01B4 C27C 01B4 C280 01B4 C284 01B4 C288 01B4 C28C 01B4 C290 - 01B4 FFFF ACRONYM - DITCSRA0 DITCSRA1 DITCSRA2 DITCSRA3 DITCSRA4 DITCSRA5 DITCSRB0 DITCSRB1 DITCSRB2 DITCSRB3 DITCSRB4 DITCSRB5 DITUDRA0 DITUDRA1 DITUDRA2 DITUDRA3 DITUDRA4 DITUDRA5 DITUDRB0 DITUDRB1 DITUDRB2 DITUDRB3 DITUDRB4 DITUDRB5 - SRCTL0 SRCTL1 SRCTL2 SRCTL3 - XBUF0 XBUF1 XBUF2 XBUF3 - RBUF0 RBUF1 RBUF2 RBUF3 - Reserved Left (even TDM slot) channel status register file Left (even TDM slot) channel status register file Left (even TDM slot) channel status register file Left (even TDM slot) channel status register file Left (even TDM slot) channel status register file Left (even TDM slot) channel status register file Right (odd TDM slot) channel status register file Right (odd TDM slot) channel status register file Right (odd TDM slot) channel status register file Right (odd TDM slot) channel status register file Right (odd TDM slot) channel status register file Right (odd TDM slot) channel status register file Left (even TDM slot) user data register file Left (even TDM slot) user data register file Left (even TDM slot) user data register file Left (even TDM slot) user data register file Left (even TDM slot) user data register file Left (even TDM slot) user data register file Right (odd TDM slot) user data register file Right (odd TDM slot) user data register file Right (odd TDM slot) user data register file Right (odd TDM slot) user data register file Right (odd TDM slot) user data register file Right (odd TDM slot) user data register file Reserved Serializer 0 control register Serializer 1 control register Serializer 2 control register Serializer 3 control register Reserved Transmit Buffer for Serializer 0 Transmit Buffer for Serializer 1 Transmit Buffer for Serializer 2 Transmit Buffer for Serializer 3 Reserved Receive Buffer for Serializer 0 Receive Buffer for Serializer 1 Receive Buffer for Serializer 2 Receive Buffer for Serializer 3 Reserved REGISTER NAME
June 2003
SPRS222
43
PRODUCT PREVIEW
Peripheral Register Descriptions
Table 1-27. McASP0 Data Registers
HEX ADDRESS RANGE 3C00 0000 - 3C0F FFFF ACRONYM RBUF/XBUFx REGISTER NAME McASPx receive buffers or McASPx transmit buffers via the Peripheral Data Bus. COMMENTS (Used when RSEL or XSEL bits = 0 [these bits are located in the RFMT or XFMT registers, respectively].)
Table 1-28. I2C0 Registers
HEX ADDRESS RANGE 01B4 0000 01B4 0004 01B4 0008 01B4 000C 01B4 0010 01B4 0014 01B4 0018 01B4 001C 01B4 0020 01B4 0024 01B4 0028 01B4 002C 01B4 0030 01B4 0034 01B4 0038 01B4 003C - 01B4 3FFF ACRONYM I2COAR0 I2CIER0 I2CSTR0 I2CCLKL0 I2CCLKH0 I2CCNT0 I2CDRR0 I2CSAR0 I2CDXR0 I2CMDR0 I2CISRC0 - I2CPSC0 I2CPID10 I2CPID20 - I2C0 own address register I2C0 interrupt enable register I2C0 interrupt status register I2C0 clock low-time divider register I2C0 clock high-time divider register I2C0 data count register I2C0 data receive register I2C0 slave address register I2C0 data transmit register I2C0 mode register I2C0 interrupt source register Reserved I2C0 prescaler register I2C0 Peripheral Identification register 1 [Value: 0x0000 0101] I2C0 Peripheral Identification register 2 [Value: 0x0000 0005] Reserved REGISTER NAME
PRODUCT PREVIEW
44
SPRS222
June 2003
EDMA Channel Synchronization Events
1.10 EDMA Channel Synchronization Events
The C64x EDMA supports up to 64 EDMA channels which service peripheral devices and external memory. Table 1-29 lists the source of C64x EDMA synchronization events associated with each of the programmable EDMA channels. For the DM641/DM640 device, the association of an event to a channel is fixed; each of the EDMA channels has one specific event associated with it. These specific events are captured in the EDMA event registers (ERL, ERH) even if the events are disabled by the EDMA event enable registers (EERL, EERH). The priority of each event can be specified independently in the transfer parameters stored in the EDMA parameter RAM. For more detailed information on the EDMA module and how EDMA events are enabled, captured, processed, linked, chained, and cleared, etc., see the EDMA Controller chapter of the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
Table 1-29. TMS320DM641/DM640 EDMA Channel Synchronization Events
EDMA CHANNEL 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20-31 32 33 34 35 36 37 38 EVENT NAME DSP_INT TINT0 TINT1 SD_INTA GPINT4/EXT_INT4 GPINT5/EXT_INT5 GPINT6/EXT_INT6 GPINT7/EXT_INT7 GPINT0 GPINT1 GPINT2 GPINT3 XEVT0 REVT0 XEVT1 REVT1 VP0EVTYA VP0EVTUA VP0EVTVA TINT2 - AXEVTE0 AXEVTO0 AXEVT0 AREVTE0 AREVTO0 AREVT0 VP1EVTYB EVENT DESCRIPTION HPI-to-DSP interrupt [For DM641 Only; "None" for DM640] Timer 1 interrupt EMIFA SDRAM timer interrupt GP0 event 4/External interrupt pin 4 GP0 event 5/External interrupt pin 5 GP0 event 6/External interrupt pin 6 GP0 event 7/External interrupt pin 7 GP0 event 0 GP0 event 1 GP0 event 2 GP0 event 3 McBSP0 transmit event McBSP0 receive event McBSP1 transmit event McBSP1 receive event VP0 Channel A Y event DMA request VP0 Channel A Cb event DMA request VP0 Channel A Cr event DMA request Timer 2 interrupt None McASP0 transmit even event McASP0 transmit odd event McASP0 transmit event McASP0 receive even event McASP0 receive odd event McASP0 receive event VP1 Channel A Y event DMA request [For DM641 Only; "None" for DM640]
39 VP1EVTUB VP1 Channel A Cb event DMA request [For DM641 Only; "None" for DM640] In addition to the events shown in this table, each of the 64 channels can also be synchronized with the transfer completion or alternate transfer completion events. For more detailed information on EDMA event-transfer chaining, see the EDMA Controller chapter of the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
June 2003
SPRS222
45
PRODUCT PREVIEW
Timer 0 interrupt
EDMA Channel Synchronization Events
Table 1-29. TMS320DM641/DM640 EDMA Channel Synchronization Events (Continued)
EDMA CHANNEL 40 41-43 44 45 46-47 48 49 50 51 52 53 54 55 EVENT NAME VP1EVTVB - ICREVT0 ICXEVT0 - GPINT8 GPINT9 GPINT10 GPINT11 GPINT12 GPINT13 GPINT14 GPINT15 EVENT DESCRIPTION VP1 Channel A Cr event DMA request [For DM641 Only; "None" for DM640] None I2C0 receive event I2C0 transmit event None GP0 event 8 GP0 event 9 GP0 event 10 GP0 event 11 GP0 event 12 GP0 event 13 GP0 event 14 GP0 event 15
PRODUCT PREVIEW
56-63 - None In addition to the events shown in this table, each of the 64 channels can also be synchronized with the transfer completion or alternate transfer completion events. For more detailed information on EDMA event-transfer chaining, see the EDMA Controller chapter of the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
46
SPRS222
June 2003
Interrupt Sources and Interrupt Selector
1.11 Interrupt Sources and Interrupt Selector
The C64x DSP core supports 16 prioritized interrupts, which are listed in Table 1-30. The highest-priority interrupt is INT_00 (dedicated to RESET) while the lowest-priority interrupt is INT_15. The first four interrupts (INT_00-INT_03) are non-maskable and fixed. The remaining interrupts (INT_04-INT_15) are maskable and default to the interrupt source specified in Table 1-30. The interrupt source for interrupts 4-15 can be programmed by modifying the selector value (binary value) in the corresponding fields of the Interrupt Selector Control registers: MUXH (address 0x019C0000) and MUXL (address 0x019C0004).
Table 1-30. DM641/DM640 DSP Interrupts
CPU INTERRUPT NUMBER INT_00 INT_01 INT_02 INT_03 INT_04 INT_05 INT_06 INT_07 INT_08 INT_09 INT_10 INT_11 INT_12 INT_13 INT_14 INT_15 - - - - - - - - - - - - - INTERRUPT SELECTOR CONTROL REGISTER - - - - MUXL[4:0] MUXL[9:5] MUXL[14:10] MUXL[20:16] MUXL[25:21] MUXL[30:26] MUXH[4:0] MUXH[9:5] MUXH[14:10] MUXH[20:16] MUXH[25:21] MUXH[30:26] - - - - - - - - - - - - - SELECTOR VALUE (BINARY) - - - - 00100 00101 00110 00111 01000 01001 00011 01010 01011 00000 00001 00010 01100 01101 01110 01111 10000 10001 10010 10011 10100 10101 10110 10111 11000 INTERRUPT EVENT RESET NMI Reserved Reserved GPINT4/EXT_INT4 GPINT5/EXT_INT5 GPINT6/EXT_INT6 GPINT7/EXT_INT7 EDMA_INT EMU_DTDMA SD_INTA EMU_RTDXRX EMU_RTDXTX DSP_INT TINT0 TINT1 XINT0 RINT0 XINT1 RINT1 GPINT0 Reserved Reserved TINT2 Reserved Reserved ICINT0 Reserved EMAC_MDIO_INT Reserved. Do not use. Reserved. Do not use. GP0 interrupt 4/External interrupt pin 4 GP0 interrupt 5/External interrupt pin 5 GP0 interrupt 6/External interrupt pin 6 GP0 interrupt 7/External interrupt pin 7 EDMA channel (0 through 63) interrupt EMU DTDMA EMIFA SDRAM timer interrupt EMU real-time data exchange (RTDX) receive EMU RTDX transmit HPI-to-DSP interrupt [DM641 Only] Timer 0 interrupt Timer 1 interrupt McBSP0 transmit interrupt McBSP0 receive interrupt McBSP1 transmit interrupt McBSP1 receive interrupt GP0 interrupt 0 Reserved. Do not use. Reserved. Do not use. Timer 2 interrupt Reserved. Do not use. Reserved. Do not use. I2C0 interrupt Reserved. Do not use.
INTERRUPT SOURCE
EMAC/MDIO interrupt Interrupts INT_00 through INT_03 are non-maskable and fixed. Interrupts INT_04 through INT_15 are programmable by modifying the binary selector values in the Interrupt Selector Control registers fields. Table 1-30 shows the default interrupt sources for Interrupts INT_04 through INT_15. For more detailed information on interrupt sources and selection, see the Interrupt Selector and External Interrupts chapter of the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
June 2003
SPRS222
47
PRODUCT PREVIEW
Interrupt Sources and Interrupt Selector
Table 1-30. DM641/DM640 DSP Interrupts (Continued)
CPU INTERRUPT NUMBER - - - - - - INTERRUPT SELECTOR CONTROL REGISTER - - - - - - SELECTOR VALUE (BINARY) 11001 11010 11011 11100 11101 11110 - 11111 INTERRUPT EVENT VPINT0 VPINT1 Reserved AXINT0 ARINT0 Reserved
INTERRUPT SOURCE
VP0 interrupt VP1 interrupt [DM641 Only] Reserved. Do not use. McASP0 transmit interrupt McASP0 receive interrupt
Reserved. Do not use. Interrupts INT_00 through INT_03 are non-maskable and fixed. Interrupts INT_04 through INT_15 are programmable by modifying the binary selector values in the Interrupt Selector Control registers fields. Table 1-30 shows the default interrupt sources for Interrupts INT_04 through INT_15. For more detailed information on interrupt sources and selection, see the Interrupt Selector and External Interrupts chapter of the TMS320C6000 Peripherals Reference Guide (literature number SPRU190).
PRODUCT PREVIEW
48 SPRS222
June 2003
Signal Groups Description
1.12 Signal Groups Description
CLKIN CLKOUT4/GP0[1] CLKOUT6/GP0[2] CLKMODE1 CLKMODE0 PLLV
Clock/PLL
Reset and Interrupts
RESET NMI GP0[7]/EXT_INT7 GP0[6]/EXT_INT6 GP0[5]/EXT_INT5 GP0[4]/EXT_INT4
Peripheral Control/Status
TOUT0/MAC_EN
Control/Status
GP0[7]/EXT_INT7 GP0[6]/EXT_INT6 GP0[5]/EXT_INT5 GP0[4]/EXT_INT4
GP0 (8-Bit)
GP0[3] CLKOUT6/GP0[2] CLKOUT4/GP0[1] GP0[0]
General-Purpose Input/Output 0 (GP0) Port These pins are muxed with the GP0 pins and by default these signals function as clocks (CLKOUT4 or CLKOUT6). To use these muxed pins as GPIO signals, the appropriate GPIO register bits (GPxEN and GPxDIR) must be properly enabled and configured. For more details, see the Device Configurations section of this data sheet. These pins are GP0 pins that can also function as external interrupt sources (EXT_INT[7:4]). Default after reset is EXT_INTx or GPIO as input-only.
Figure 1-5. CPU and Peripheral Signals
June 2003
SPRS222
49
PRODUCT PREVIEW
TMS TDO TDI TCK TRST EMU0 EMU1 EMU2 EMU3 EMU4 EMU5 EMU6 EMU7 EMU8 EMU9 EMU10 EMU11
RSV RSV RSV Reserved RSV RSV RSV
IEEE Standard 1149.1 (JTAG) Emulation
Signal Groups Description
32 AED[31:0] ACE3 ACE2 ACE1 ACE0 20 AEA[22:3] Memory Map Space Select External Memory I/F Control Data AECLKIN AECLKOUT1 AECLKOUT2 ASDCKE AARE/ASDCAS/ASADS/ASRE AAOE/ASDRAS/ASOE AAWE/ASDWE/ASWE AARDY ASOE3 APDT
Address
ABE3 ABE2 ABE1 ABE0
Byte Enables
Bus Arbitration
AHOLD AHOLDA ABUSREQ
EMIFA (32-bit)
PRODUCT PREVIEW
Data VCXO Interpolated Control Port (VIC)
VDAC
16 HD[15:0]
Data
HPI (Host-Port Interface) [DM641 only] HAS HR/W HCS HDS1 HDS2 HRDY HINT
HCNTL0 HCNTL1
Register Select Control Half-Word Select
HHWIL
Figure 1-6. Peripheral Signals
50
SPRS222
June 2003
Signal Groups Description
TOUT1/LENDIAN TINP1
Timer 1
Timer 0
TOUT0/MAC_EN TINP0
Timer 2 Timers
I2C0 I2C0
SCL0 SDA0
DM641/DM640 McBSP1 VP1D[0]/CLKX1 VP1D[1]/FSX1 VP1D[2]/DX1 VP1D[6]/CLKR1 VP1D[5]/FSR1 VP1D[4]/DR1 VP1D[3]/CLKS1 Transmit McBSP0 Transmit VP0D[0]/CLKX0 VP0D[1]/FSX0 VP0D[2]/DX0 VP0D[6]/CLKR0 VP0D[5]/FSR0 VP0D[4]/DR0 VP0D[3]/CLKS0
Receive
Receive
Clock
Clock
McBSPs (Multichannel Buffered Serial Ports) For DM641, these McBSP1 and McBSP0 pins are muxed with the Video Port 1 (VP1) and Video Port 0 (VP0) peripherals, respectively. By default, these signals function as VP1 and VP0, respectively. For more details on these muxed pins, see the Device Configurations section of this data sheet. For DM640, these McBSP0 pins are muxed with the Video Port 0 (VP0) peripheral. By default, these signals function as VP0. For more details on these muxed pins, see the Device Configurations section of this data sheet. The DM640 device does not support the VP1 peripheral; therefore, the McBSP1 peripheral pins are standalone perpheral functions, not muxed.
Figure 1-6. Peripheral Signals (Continued)
June 2003
SPRS222
51
PRODUCT PREVIEW
Signal Groups Description
EMAC MTXD0 MTXD1 MTXD2 MTXD3 MRXD0 MRXD1 MRXD2 MRXD3 MTXEN MRXER MRXDV MCOL MCRS Error Detect and Control Clock MDCLK
Transmit MDIO
Receive
Input/Output
MDIO
PRODUCT PREVIEW
MTCLK MRCLK
Clocks Ethernet MAC (EMAC) and MDIO
STCLK VP0CLK0 VP0CLK1 VP0CTL0 VP0CTL1 VP0CTL2
Timing and Control Logic
VP0D[0]/CLKX0 VP0D[1]/FSX0 VP0D[2]/DX0 VP0D[3]/CLKS0
Capture/Display Buffer (2560 Bytes)
VP0D[4]/DR0 VP0D[5]/FSR0 VP0D[6]/CLKR0 VP0D[7]
Channel A Video Port 0 (VP0) Channel A supports: BT.656 (8-bit) and TSI (8-bit) capture pipeline modes and BT.656 (8-bit) display pipeline mode.
Figure 1-6. Peripheral Signals (Continued)
52
SPRS222
June 2003
Signal Groups Description
STCLK VP1CLK0 VP1CLK1 VP1CTL0 VP1CTL1 VP1CTL2
Timing and Control Logic
VP1D[0]/CLKX1 VP1D[1]/FSX1 VP1D[2]/DX1 VP1D[3]/CLKS1
Capture/Display Buffer (2560 Bytes)
VP1D[4]/DR1 VP1D[5]/FSR1 VP1D[6]/CLKR1 VP1D[7]
Channel A Video Port 1 (VP1) [DM641 only]
Channel A supports: BT.656 (8-bit) and TSI (8-bit) capture pipeline modes and BT.656 (8-bit) display pipeline mode. For DM641, the same STCLK signal is used for both video ports (VP0 and VP1).
Figure 1-6. Peripheral Signals (Continued)
June 2003
SPRS222
53
PRODUCT PREVIEW
Signal Groups Description
(Transmit/Receive Data Pins) 8-Serial Ports Flexible Partitioning Tx, Rx, OFF
(Transmit/Receive Data Pins)
AXR0[0] AXR0[1]
AXR0[2] AXR0[3]
(Receive Bit Clock) ACLKR0 AHCLKR0 (Receive Master Clock) Transmit Clock Check Circuit Receive Clock Generator Transmit Clock Generator
(Transmit Bit Clock) ACLKX0 AHCLKX0 (Transmit Master Clock)
PRODUCT PREVIEW
Receive Clock Check Circuit
AFSR0 (Receive Frame Sync or Left/Right Clock)
Receive Frame Sync
Transmit Frame Sync
AFSX0 (Transmit Frame Sync or Left/Right Clock) AMUTE0 AMUTEIN0
Error Detect (see Note A )
Auto Mute Logic
McASP0 (Multichannel Audio Serial Port 0)
NOTES: A. The McASPs' Error Detect function detects underruns, overruns, early/late frame syncs, DMA errors, and external mute input. B. Bolded and italicized text within parentheses denotes the function of the pins in an audio system.
Figure 1-6. Peripheral Signals (Continued)
54
SPRS222
June 2003
Device Configurations
2
Device Configurations
On the DM641/DM640 device, bootmode and certain device configurations/peripheral selections are determined at device reset, while other device configurations/peripheral selections are software-configurable via the peripheral configurations register (PERCFG) [address location 0x01B3F000] after device reset.
2.1
Peripheral Selection at Device Reset
On the DM641/DM640 devices there are NO peripherals sharing the same pins (internally muxed, yet mutually exclusive) that are controlled via external pins. However, for proper DM641/DM640 device operation; the following external pins must be configured correctly:
* * *
For proper DM641 device operation, the HD5 pin [Y1] at device reset must be pulled down via a 10-k resistor. For proper DM641/DM60 device operation, the reserved (RSV) [E2] pin at device reset must be pulled down via a 10-k resistor.
EMAC and MDIO peripherals The MAC_EN pin is latched at reset. This pin determines specific peripheral selection, summarized in Table 2-1.
Table 2-1. MAC_EN Peripheral Selection (EMAC and MDIO)
PERIPHERAL SELECTION MAC_EN Pin [C5] 0 1 PERIPHERALS SELECTED HPI Data (16-Bit) [DM641 Only] EMAC and MDIO Disabled
June 2003
SPRS222
55
PRODUCT PREVIEW
Device Configurations
2.2
Device Configuration at Device Reset
Table 2-2 describes the DM641/DM640 device configuration pins, which are set up via external pullup/pulldown resistors through the specified EMIFA address bus pins (AEA[22:19]) and the TOUT1/LENDIAN pin, all of which are latched during device reset.
Table 2-2. DM641/DM640 Device Configuration Pins (TOUT1/LENDIAN, AEA[22:19], and HD5)
CONFIGURATION PIN TOUT1/LENDIAN
NO.
FUNCTIONAL DESCRIPTION Device Endian mode (LEND) 0 - System operates in Big Endian mode 1 - System operates in Little Endian mode (default) Bootmode [1:0] - Boot mode (AEA[22:21]): 00 - No boot (default mode) 01 - HPI [DM641 only]; Reserved [For DM640 device] 10 - Reserved 11 - EMIFA boot EMIFA input clock select Clock mode select for EMIFA (AECLKIN_SEL[1:0]) 00 - AECLKIN (default mode) 01 - CPU/4 Clock Rate 10 - CPU/6 Clock Rate 11 - Reserved Peripheral Selection
B5
AEA[22:21]
[U23, V24]
PRODUCT PREVIEW
AEA[20:19]
[V25, V26]
TOUT0/MAC_EN
[C5]
1 - EMAC and MDIO enabled 0 - EMAC and MDIO disabled
2.3
Peripheral Selection After Device Reset
Video Ports, McBSP1, McBSP0, McASP0 and I2C0 The DM641/DM640 device has designated registers for peripheral configuration (PERCFG), device status (DEVSTAT), and JTAG identification (JTAGID). These registers are part of the Device Configuration module and are mapped to a 4K block memory starting at 0x01B3F000. The CPU accesses these registers via the CFGBUS. The peripheral configuration register (PERCFG), allows the user to control the peripheral selection of the Video Ports (VP0 and VP1 [DM641 only]) McBSP0, McBSP1, McASP0, and I2C0 peripherals. For more detailed information on the PERCFG register control bits, see Figure 2-1 and Table 2-3.
56
SPRS222
June 2003
Device Configurations
31 Reserved R-0 23 Reserved R-0 15 Reserved R-0 7 Reserved R-0 6 Reserved R/W-0 5 VP1EN R/W-0 4 VP0EN R/W-0 3 I2C0EN R/W-0 2 MCBSP1EN R/W-1 1 MCBSP0EN R/W-1 0 MCASP0EN R/W-0 8 16 24
Legend: R = Read only; R/W = Read/Write; -n = value after reset The DM640 device does not support the VP1 peripheral; therefore, this bit is Reserved.
Figure 2-1. Peripheral Configuration Register (PERCFG) [Address Location: 0x01B3F000 - 0x01B3F003] Table 2-3. Peripheral Configuration (PERCFG) Register Selection Bit Descriptions
BIT 31:6 NAME Reserved Reserved. Read-only, writes have no effect. VP1 Enable bit [DM641 only]. Determines whether the VP1 peripheral is enabled or disabled. 0 = VP1 is disabled, and the module is powered down (default). (This feature allows power savings by disabling the peripheral when not in use.) 1 = VP1 is enabled. The DM640 device does not support the VP1 peripheral; therefore, this bit is Reserved. VP0 Enable bit. Determines whether the VP0 peripheral is enabled or disabled. 0 = VP0 is disabled, and the module is powered down (default). (This feature allows power savings by disabling the peripheral when not in use.) 1 = VP0 is enabled. Inter-integrated circuit 0 (I2C0) enable bit. Selects whether I2C0 peripheral is enabled or disabled (default). 0 = I2C0 is disabled, and the module is powered down (default). 1 = I2C0 is enabled. Video Port 1 (VP1) lower data pins vs. McBSP1 enable bit. Selects whether VP1 peripheral lower-data pins or the McBSP1 peripheral is enabled. 0 = VP1 lower-data pins are enabled and function (if VP1EN=1), McBSP1 is disabled; the remaining VP1 upper-data pins are dependent on the MCASP0EN bit and the VP1EN bit settings. 1 = McBSP1 is enabled, VP1 lower-data pin functions are disabled (default). Video Port 0 (VP0) lower data pins vs. McBSP0 enable bit. Selects whether VP0 peripheral lower-data pins or the McBSP1 peripheral is enabled. 0 = VP0 lower-data pins are enabled and function (if VP0EN=1), McBSP0 is disabled; the remaining VP0 upper-data pins are dependent on the MCASP0EN bit and the VP1EN bit settings. 1 = McBSP0 is enabled, VP0 lower-data pin functions are disabled (default). McASP0 select bit. Selects whether the McASP0 peripheral or the VP0 and VP1 upper-data pins are enabled. 0 = Reserved [default]. 1 = McASP0 is enabled. For proper DM641/DM640 device operation, the pin must be set to a "1". DESCRIPTION
5
VP1EN
4
VP0EN
3
I2C0EN
2
MCBSP1EN
1
MCBSP0EN
0
MCASP0EN
June 2003
SPRS222
57
PRODUCT PREVIEW
Device Configurations
2.3.1 Peripheral Configuration Lock
By default, the McASP0, VP0, VP1[DM641 only], and I2C peripherals are disabled on power up. In order to use these peripherals on the DM641/DM640 device, the peripheral must first be enabled in the Peripheral Configuration register (PERCFG). Software muxed pins should not be programmed to switch functionalities during run-time. Care should also be taken to ensure that no accesses are being performed before disabling the peripherals. To help minimize power consumption in the DM641/DM640 device, unused peripherals may be disabled. Figure 2-2 shows the flow needed to enable (or disable) a given peripheral on the DM641/DM640 devices.
Unlock the PERCFG Register Using the PCFGLOCK Register
PRODUCT PREVIEW
Write to PERCFG Register to Enable/Disable Peripherals
Read from PERCFG Register
Wait 128 CPU Cycles Before Accessing Enabled Peripherals
Figure 2-2. Peripheral Enable/Disable Flow Diagram
A 32-bit key (value = 0x10C0010C) must be written to the Peripheral Configuration Lock register (PCFGLOCK) in order to unlock access to the PERCFG register. Reading the PCFGLOCK register determines whether the PERCFG register is currently locked (LOCKSTAT bit = 1) or unlocked (LOCKSTAT bit = 0), see Figure 2-3. A peripheral can only be enabled when the PERCFG register is "unlocked" (LOCKSTAT bit = 0).
58
SPRS222
June 2003
Device Configurations
Read Accesses
31 Reserved R-0 1 0 LOCKSTAT R-1
Write Accesses
31 LOCK W-0 Legend: R = Read only; R/W = Read/Write; -n = value after reset 0
Figure 2-3. PCFGLOCK Register Diagram [Address Location: 0x01B3 F018] - Read/Write Accesses Table 2-4. PCFGLOCK Register Selection Bit Descriptions - Read Accesses
BIT 31:1 NAME Reserved Reserved. Read-only, writes have no effect. Lock status bit. Determines whether the PERCFG register is locked or unlocked. 0 = Unlocked, read accesses to the PERCFG register allowed. 1 = Locked, write accesses to the PERCFG register do not modify the register state [default]. Reads are unaffected by Lock Status. DESCRIPTION
0
LOCKSTAT
Table 2-5. PCFGLOCK Register Selection Bit Descriptions - Write Accesses
BIT 31:0 NAME LOCK DESCRIPTION Lock bits. 0x10C0010C = Unlocks PERCFG register accesses.
Any write to the PERCFG register will automatically relock the register. In order to avoid the unnecessary overhead of multiple unlock/enable sequences, all peripherals should be enabled with a single write to the PERCFG register with the necessary enable bits set. Prior to waiting 128 CPU cycles, the PERCFG register should be read. There is no direct correlation between the CPU issuing a write to the PERCFG register and the write actually occurring. Reading the PERCFG register after the write is issued forces the CPU to wait for the write to the PERCFG register to occur. Once a peripheral is enabled, the DSP (or other peripherals such as the McBSP) must wait a minimum of 128 CPU cycles before accessing the enabled peripheral. The user must ensure that no accesses are performed to a peripheral while it is disabled.
June 2003
SPRS222
59
PRODUCT PREVIEW
Device Configurations
2.3.2 Device Status Register Description
The device status register depicts the status of the device peripheral selection. For the actual register bit names and their associated bit field descriptions, see Figure 2-4 and Table 2-6.
31 Reserved R-0 23 Reserved R-0 15 14 Reserved R-0 13 12 11 MAC_EN R-x 10 Reserved R-0 9 Reserved R-x 8 Reserved R-0 16 24
7
6 CLKMODE1 R-x
5 CLKMODE0 R-x
4 LENDIAN R-x
3 BOOTMODE1 R-x
2 BOOTMODE0 R-x
1 AECLKINSEL1 R-x
0 AECLKINSEL0 R-x
PRODUCT PREVIEW
Reserved R-x
Legend: R = Read only; R/W = Read/Write; -n = value after reset
Figure 2-4. Device Status Register (DEVSTAT) Description - 0x01B3 F004
Table 2-6. Device Status (DEVSTAT) Register Selection Bit Descriptions
BIT 31:12 NAME Reserved Reserved. Read-only, writes have no effect. EMAC enable bit. Shows the status of whether EMAC peripheral is enabled or disabled (default). 0 = EMAC is disabled, and the module is powered down (default). 1 = EMAC is enabled. Reserved. Read-only, writes have no effect. Clock mode select bits Shows the status of whether the CPU clock frequency equals the input clock frequency X1 (Bypass), x6, or x12. Clock mode select for CPU clock frequency (CLKMODE[1:0]) 00 - Bypass (x1) (default mode) 01 - x6 10 - x12 11 - Reserved For more details on the CLKMODE pins and the PLL multiply factors, see the Clock PLL section of this data sheet. Device Endian mode (LEND) Shows the status of whether the system is operating in Big Endian mode or Little Endian mode (default). 0 - System is operating in Big Endian mode 1 - System is operating in Little Endian mode (default) Bootmode configuration bits Shows the status of what device bootmode configuration is operational. Bootmode [1:0] 00 - No boot (default mode) 01 - HPI [DM641 only]; Reserved [For DM640 device] 10 - Reserved 11 - EMIFA boot DESCRIPTION
11
MAC_EN
10:7
Reserved
6
CLKMODE1
5
CLKMODE0
4
LENDIAN
3
BOOTMODE1
2
BOOTMODE0
60
SPRS222
June 2003
Device Configurations
Table 2-6. Device Status (DEVSTAT) Register Selection Bit Descriptions (Continued)
BIT 1 NAME AECLKINSEL1 DESCRIPTION EMIFA input clock select Shows the status of what clock mode is enabled or disabled for the EMIF. Clock mode select for EMIFA (AECLKIN_SEL[1:0]) 00 - AECLKIN (default mode) 01 - CPU/4 Clock Rate 10 - CPU/6 Clock Rate 11 - Reserved
0
AECLKINSEL0
2.3.3 JTAG ID Register Description
The JTAG ID register is a read-only register that identifies to the customer the JTAG/Device ID. For the DM641/DM640 device, the JTAG ID register resides at address location 0x01B3 F004. The register hex value for the DM641/DM640 device is: 0x0007 902F. For the actual register bit names and their associated bit field descriptions, see Figure 2-5 and Table 2-7.
31-28 VARIANT (4-Bit) R-0000 27-12 PART NUMBER (16-Bit) R-0000 0000 0111 1001 11-1 MANUFACTURER (11-Bit) R-0000 0010 111 0 LSB R-1
Legend: R = Read only; -n = value after reset
Figure 2-5. JTAG ID Register Description - TMS320DM641/DM640 Register Value - 0x0007 902F Table 2-7. JTAG ID Register Selection Bit Descriptions
BIT 31:28 27:12 11-1 0 NAME VARIANT PART NUMBER MANUFACTURER LSB DESCRIPTION Variant (4-Bit) value. DM641/DM640 value: 0000. Part Number (16-Bit) value. DM641/DM640 value: 0000 0000 0111 1001. Manufacturer (11-Bit) value. DM641/DM640 value: 0000 0010 111. LSB. This bit is read as a "1" for DM641/DM640.
2.4
Multiplexed Pins
Multiplexed pins are pins that are shared by more than one peripheral and are internally multiplexed. Some of these pins are configured by software, and the others are configured by external pullup/pulldown resistors only at reset. Those muxed pins that are configured by software should not be programmed to switch functionalities during run-time. Those muxed pins that are configured by external pullup/pulldown resistors are mutually exclusive; only one peripheral has primary control of the function of these pins after reset. Table 2-8 identifies the multiplexed pins on the DM641/DM640 device; shows the default (primary) function and the default settings after reset; and describes the pins, registers, etc. necessary to configure specific multiplexed functions.
June 2003
SPRS222
61
PRODUCT PREVIEW
Configuration Examples
2.5
Debugging Considerations
It is recommended that external connections be provided to device configuration pins, including AEA[22:19] and TOUT0/MAC_EN. Although internal pullup/pulldown resistors exist on these pins, providing external connectivity adds convenience to the user in debugging and flexibility in switching operating modes. Internal pullup/pulldown resistors also exist on the non-configuration pins on the AEA bus (AEA[18:0]). Do not oppose the internal pullup/pulldown resistors on these non-configuration pins with external pullup/pulldown resistors. If an external controller provides signals to these non-configuration pins, these signals must be driven to the default state of the pins at reset, or not be driven at all. For the internal pullup/pulldown resistors for all device pins, see the terminal functions table.
Table 2-8. DM641/DM640 Device Multiplexed Pins
MULTIPLEXED PINS NAME NO. D6 DEFAULT FUNCTION CLKOUT4 DEFAULT SETTING GP1EN = 0 (disabled) DESCRIPTION These pins are software-configurable. To use these pins as GPIO pins, the GPxEN bits in the GPIO Enable Register and the GPxDIR bits in the GPIO Direction Register must be properly configured. GPxEN = 1: GPx pin enabled GPxDIR = 0: GPx pin is an input GPxDIR = 1: GPx pin is an output Muxed on the DM641 device only [The DM640 device does not support the VP1 peripheral; therefore, the McBSP1 peripheral pins are standalone peripheral functions, not muxed.] By default, the McBSP1 peripheral, function is enabled upon reset (MCBSP1EN bit = 1). To enable the Video Port 1 data pins, the VP1EN bit in the PERCFG register must be set to a 1.
PRODUCT PREVIEW
CLKOUT4/GP0[1]
CLKOUT6/GP0[2] VP1D[6]/CLKR1 VP1D[5]/FSR1 VP1D[4]/DR1 VP1D[3]/CLKS1 VP1D[2]/DX1 VP1D[1]/FSX1 VP1D[0]/CLKX1 VP0D[6]/CLKR0 VP0D[5]/FSR0 VP0D[4]/DR0 VP0D[3]/CLKS0 VP0D[2]/DX0 VP0D[1]/FSX0 VP0D[0]/CLKX0
C6 AD8 AC7 AD7 AE7 AC6 AD6 AE6 AE15 AB16 AC16 AD16 AE16 AF16 AF17
CLKOUT6
GP2EN = 0 (disabled)
McBSP1 functions
VP1EN bit = 0 (disabled) MCBSP1EN bit = 1 (enabled)
None
VP0EN bit = 0 (disabled) MCBSP0EN bit = 1 (enabled)
By default, the McBSP0 peripheral function is enabled upon reset (MCBSP0EN bit = 1). To enable the Video Port 0 data pins, the VP0EN bit in the PERCFG register must be set to a 1.
2.6
Configuration Examples
Figure 2-6 through Figure 2-9 illustrate some examples of peripheral selections that are configurable on the DM641 and DM640 devices.
62
SPRS222
June 2003
Configuration Examples
32 AED[31:0] EMIFA AECLKIN, AARDY, AHOLD AEA[22:3], ACE[3:0], ABE[3:0], AECLKOUT1, AECLKOUT2, ASDCKE, ASOE3, APDT, AHOLDA, ABUSREQ, AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, AAWE/ASDWE/ASWE CLKIN, CLKMODE0, CLKMODE1 EMAC MRXD[3:0], MRXER, MRXDV, MCOL, MCRS, MTCLK, MRCLK MDIO, MDCLK MDIO TIMER1 TOUT1/LENDIAN STCLK VP0CLK0 VP0CLK1, VP0CTL[2:0], VP0D[7:0] VP0 (8-Bit) TIMER0 TOUT0/MAC_EN TIMER2 CLKOUT4, CLKOUT6, PLLV
HD[15:0] HRDY, HINT HCNTL0, HCNTL1, HHWIL, HAS, HR/W, HCS, HDS1, HDS2 MTXD[3:0], MTXEN
16 HPI (16-Bit)
Clock and System
TINP1
TINP0
McBSP0 AHCLKX0, AFSX0, ACLKX0, AMUTE0, AMUTEIN0, AHCLKR0, AFSR0, ACLKR0 AXR0[3:0]
GP0 and EXT_INT
GP0[3:0] GP0[7:4]
McASP0 Control I2C0 McASP0 Data
SCL0 SDA0
McBSP1
VIC
VDAC
STCLK VP1CLK0 VP1CLK1, VP1CTL[2:0], VP1D[7:0] VP1 (8-Bit)
Shading denotes a peripheral module not available for this configuration. STCLK supports both video ports (VP1 and VP0). PERCFG Register Value: Extenal Pins: 0x0000 0039 TOUT0/MAC_EN = 1
Figure 2-6. Configuration Example A for DM641 (2 8-Bit Video Ports + 1 McASP0 + VIC + I2C0 + EMIF) [TBD Application]
June 2003
SPRS222
63
PRODUCT PREVIEW
Configuration Examples
32 AED[31:0] EMIFA AECLKIN, AARDY, AHOLD AEA[22:3], ACE[3:0], ABE[3:0], AECLKOUT1, AECLKOUT2, ASDCKE, ASOE3, APDT, AHOLDA, ABUSREQ, AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, AAWE/ASDWE/ASWE CLKIN, CLKMODE0, CLKMODE1 EMAC MRXD[3:0], MRXER, MRXDV, MCOL, MCRS, MTCLK, MRCLK MDIO, MDCLK MDIO TIMER1 TOUT1/LENDIAN TIMER2 CLKOUT4, CLKOUT6, PLLV
HD[15:0] HRDY, HINT HCNTL0, HCNTL1, HHWIL, HAS, HR/W, HCS, HDS1, HDS2 MTXD[3:0], MTXEN
16 HPI (16-Bit)
Clock and System
TINP1
PRODUCT PREVIEW
VP0 (8-Bit)
TINP0 TIMER0 TOUT0/MAC_EN
CLKR0, FSR0, DR0, CLKS0, DX0, FSX0, CLKX0 AHCLKX0, AFSX0, ACLKX0, AMUTE0, AMUTEIN0, AHCLKR0, AFSR0, ACLKR0 AXR0[3:0]
McBSP0
GP0 and EXT_INT
GP0[3:0] GP0[7:4]
McASP0 Control I2C0 McASP0 Data
SCL0 SDA0
CLKR1, FSR1, DR1, CLKS1, DX1, FSX1, CLKX1
McBSP1
VIC
VDAC
VP1 (8-Bit)
Shading denotes a peripheral module not available for this configuration.
PERCFG Register Value: Extenal Pins:
0x0000 000F TOUT0/MAC_EN = 1
Figure 2-7. Configuration Example B for DM641 (1 McASP0 + 2 McBSPs + VIC + I2C0 + EMIF) [TBD Application]
64
SPRS222
June 2003
Configuration Examples
32 AED[31:0] EMIFA AECLKIN, AARDY, AHOLD AEA[22:3], ACE[3:0], ABE[3:0], AECLKOUT1, AECLKOUT2, ASDCKE, ASOE3, APDT, AHOLDA, ABUSREQ, AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, AAWE/ASDWE/ASWE CLKIN, CLKMODE0, CLKMODE1 EMAC MRXD[3:0], MRXER, MRXDV, MCOL, MCRS, MTCLK, MRCLK MDIO, MDCLK MDIO TIMER1 TOUT1/LENDIAN STCLK VP0CLK0 VP0CLK1, VP0CTL[2:0], VP0D[7:0] VP0 (8-Bit) TIMER0 TOUT0/MAC_EN TIMER2 CLKOUT4, CLKOUT6, PLLV
Clock and System
MTXD[3:0], MTXEN
TINP1
TINP0
McBSP0 AHCLKX0, AFSX0, ACLKX0, AMUTE0, AMUTEIN0, AHCLKR0, AFSR0, ACLKR0 AXR0[3:0]
GP0 and EXT_INT
GP0[3:0] GP0[7:4]
McASP0 Control I2C0 McASP0 Data
SCL0 SDA0
McBSP1
VIC
VDAC
Shading denotes a peripheral module not available for this configuration.
PERCFG Register Value: Extenal Pins:
0x0000 0019 TOUT0/MAC_EN = 1
Figure 2-8. Configuration Example A for DM640 (1 8-Bit Video Port + 1 McASP0 + VIC + I2C0 + EMIF) [TBD Application]
June 2003
SPRS222
65
PRODUCT PREVIEW
Configuration Examples
32 AED[31:0] EMIFA AECLKIN, AARDY, AHOLD AEA[22:3], ACE[3:0], ABE[3:0], AECLKOUT1, AECLKOUT2, ASDCKE, ASOE3, APDT, AHOLDA, ABUSREQ, AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, AAWE/ASDWE/ASWE CLKIN, CLKMODE0, CLKMODE1 EMAC MRXD[3:0], MRXER, MRXDV, MCOL, MCRS, MTCLK, MRCLK MDIO, MDCLK MDIO TIMER1 TOUT1/LENDIAN TIMER2 CLKOUT4, CLKOUT6, PLLV
Clock and System
MTXD[3:0], MTXEN
TINP1
PRODUCT PREVIEW
VP0 (8-Bit)
TINP0 TIMER0 TOUT0/MAC_EN
CLKR0, FSR0, DR0, CLKS0, DX0, FSX0, CLKX0 AHCLKX0, AFSX0, ACLKX0, AMUTE0, AMUTEIN0, AHCLKR0, AFSR0, ACLKR0 AXR0[3:0]
McBSP0
GP0 and EXT_INT
GP0[3:0] GP0[7:4]
McASP0 Control I2C0 McASP0 Data
SCL0 SDA0
CLKR1, FSR1, DR1, CLKS1, DX1, FSX1, CLKX1
McBSP1
VIC
VDAC
Shading denotes a peripheral module not available for this configuration.
PERCFG Register Value: Extenal Pins:
0x0000 000F TOUT0/MAC_EN = 1
Figure 2-9. Configuration Example B for DM640 (1 McASP0 + 2 McBSPs + VIC + I2C0 + EMIF) [TBD Application]
66
SPRS222
June 2003
Terminal Functions
2.7
Terminal Functions
The terminal functions table (Table 2-9) identifies the external signal names, the associated pin (ball) numbers along with the mechanical package designator, the pin type (I, O/Z, or I/O/Z), whether the pin has any internal pullup/pulldown resistors and a functional pin description. For more detailed information on device configuration, peripheral selection, multiplexed/shared pins, and debugging considerations, see the Device Configurations section of this data sheet.
June 2003
SPRS222
67
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU CLOCK/PLL CONFIGURATION CLKIN CLKOUT4/GP0[1] CLKOUT6/GP0[2] AC2 D6 C6 AC2 D6 C6 I I/O/Z I/O/Z IPD IPD IPD Clock Input. This clock is the input to the on-chip PLL. Clock output at 1/4 of the device speed (O/Z) [default] or this pin can be programmed as a GP0 1 pin (I/O/Z). Clock output at 1/6 of the device speed (O/Z) [default] or this pin can be programmed as a GP0 2 pin (I/O/Z). Clock mode select * Selects whether the CPU clock frequency = input clock frequency x1 (Bypass), x6, or x12. For more details on the CLKMODE pins and the PLL multiply factors, see the Clock PLL section of this data sheet. PLL voltage supply JTAG EMULATION IPU IPU IPU IPU IPD IPU IPU IPU IPU IPU IPU IPU IPU IPU IPU IPU JTAG test-port mode select JTAG test-port data out JTAG test-port data in JTAG test-port clock JTAG test-port reset. For IEEE 1149.1 JTAG compatibility, see the IEEE 1149.1 JTAG compatibility statement portion of this data sheet. Emulation pin 11. Reserved for future use, leave unconnected. Emulation pin 10. Reserved for future use, leave unconnected. Emulation pin 9. Reserved for future use, leave unconnected. Emulation pin 8. Reserved for future use, leave unconnected. Emulation pin 7. Reserved for future use, leave unconnected. Emulation pin 6. Reserved for future use, leave unconnected. Emulation pin 5. Reserved for future use, leave unconnected. Emulation pin 4. Reserved for future use, leave unconnected. Emulation pin 3. Reserved for future use, leave unconnected. Emulation pin 2. Reserved for future use, leave unconnected. Emulation pin 1|| DESCRIPTION
CLKMODE1
AE4
AE4
I
IPD
CLKMODE0 PLLV TMS TDO TDI TCK TRST EMU11 EMU10 EMU9 EMU8 EMU7 EMU6 EMU5 EMU4 EMU3 EMU2 EMU1
AA2 V6 E15 B18 A18 A16 D14 D17 C17 B17 D16 A17 C16 B16 D15 C15 B15 C14
AA2 V6 E15 B18 A18 A16 D14 D17 C17 B17 D16 A17 C16 B16 D15 C15 B15 C14
I A# I O/Z I I I I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z
IPD
PRODUCT PREVIEW
EMU0 A15 A15 I/O/Z IPU Emulation pin 0|| I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet. PLLV is not part of external voltage supply. See the Clock PLL section for information on how to connect this pin. # A = Analog signal (PLL Filter) || The EMU0 and EMU1 pins are internally pulled up with 30-k resistors; therefore, for emulation and normal operation, no external pullup/pulldown resistors are necessary. However, for boundary scan operation, pull down the EMU1 and EMU0 pins with a dedicated 1-k resistor.
68
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU DESCRIPTION
RESETS, INTERRUPTS, AND GENERAL-PURPOSE INPUT/OUTPUTS RESET NMI GP0[7]/EXT_INT7 GP0[6]/EXT_INT6 GP0[5]/EXT_INT5 GP0[4]/EXT_INT4 GP0[3] P4 B4 E1 F2 F3 F4 L5 P4 B4 E1 F2 F3 F4 L5 I I I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z IPD IPU IPU IPU IPU IPD Device reset Nonmaskable interrupt, edge-driven (rising edge) General-purpose input/output (GPIO) pins (I/O/Z) or external interrupts (input only). The default after reset setting is GPIO enabled as input-only. * When these pins function as External Interrupts [by selecting the corresponding interrupt enable register bit (IER.[7:4])], they are edge-driven and the polarity can be independently selected via the External Interrupt Polarity Register bits (EXTPOL.[3:0]). GP0 3 pin (I/O/Z). GP0 0 pin (I/O/Z) [default] The general-purpose 0 pin (GP0[0]) (I/O/Z) can be programmed as GPIO 0 (input only) [default] or as GP0[0] (output only) pin or output as a general-purpose interrupt (GP0INT) signal (output only). This pin must remain low during device reset. Clock output at 1/6 of the device speed (O/Z) [default] or this pin can be programmed as a GP0 2 pin (I/O/Z). Clock output at 1/4 of the device speed (O/Z) [default] or this pin can be programmed as a GP0 1 pin (I/O/Z).
GP0[0]
M5
M5
I/O/Z
IPD
CLKOUT6/ GP0[2] CLKOUT4/ GP0[1]
C6 D6
C6 D6
I/O/Z I/O/Z
IPD IPD
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
June 2003
SPRS222
69
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME HINT HCNTL1 HCNTL0 HHWIL HR/W HAS HCS HDS1 HDS2 HRDY HD15 HD14 HD13 HD12 HD11 HD10 HD9 HD8 HD7 HD6/ HD5 HD4 HD3 HD2 HD1 HD0 ACE3 ACE2 ACE1 ACE0 ABE3 ABE2 ABE1 ABE0 APDT SIGNAL DM641 N4 P1 R3 N3 M1 P3 R1 R2 T2 N1 T3 U1 U3 U2 U4 V1 V3 V2 W2 W4 Y1 W3 Y2 Y4 AA1 Y3 L26 K23 K24 K25 M25 M26 L23 L24 M22 DM640 -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- L26 K23 K24 K25 M25 M26 L23 L24 M22 O/Z O/Z O/Z O/Z O/Z O/Z O/Z O/Z O/Z IPU IPU IPU IPU IPU IPU IPU IPU IPU EMIFA byte-enable control * Decoded from the low-order address bits. The number of address bits or byte enables used depends on the width of external memory. * Byte-write enables for most types of memory * Can be directly connected to SDRAM read and write mask signal (SDQM) EMIFA peripheral data transfer, allows direct transfer between external peripherals EMIFA memory space enables * Enabled by bits 28 through 31 of the word address * Only one pin is asserted during any external data access I/O/Z As HPI data bus * Used for transfer of data, address, and control For proper DM641 device operation, the HD5 pin at device reset must be pulldown via a 10-k resistor. Host-port data (I/O/Z) [DM641 Only] TYPE IPD/ IPU DESCRIPTION
HOST-PORT INTERFACE (HPI) [DM641 ONLY] I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z Host interrupt from DSP to host (O) [default] Host control - selects between control, address, or data registers (I) [default] Host control - selects between control, address, or data registers (I) [default] Host half-word select - first or second half-word (not necessarily high or low order) [For HPI16 bus width selection only] (I) [default] Host read or write select (I) [default] Host address strobe (I) [default] Host chip select (I) [default] Host data strobe 1 (I) [default] Host data strobe 2 (I) [default] Host ready from DSP to host (O) [default]
PRODUCT PREVIEW
EMIFA (32-BIT) - CONTROL SIGNALS COMMON TO ALL TYPES OF MEMORY
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
70
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME AHOLDA AHOLD ABUSREQ SIGNAL DM641 N22 W24 P22 DM640 N22 W24 P22 TYPE IPD/ IPU DESCRIPTION
EMIFA (32-BIT) - BUS ARBITRATION O I O IPU IPU IPU EMIFA hold-request-acknowledge to the host EMIFA hold request from the host EMIFA bus request output EMIFA external input clock. The EMIFA input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) is selected at reset via the pullup/pulldown resistors on the AEA[20:19] pins. AECLKIN is the default for the EMIFA input clock. EMIFA output clock 2. Programmable to be EMIFA input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) frequency divided-by-1, -2, or -4. EMIFA output clock 1 [at EMIFA input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) frequency].
EMIFA (32-BIT) - ASYNCHRONOUS/SYNCHRONOUS MEMORY CONTROL
AECLKIN
H25
H25
I
IPD
AECLKOUT2
J23
J23
O/Z
IPD
AECLKOUT1
J26
J26
O/Z
IPD
AARE/ ASDCAS/ ASADS/ASRE
J25
J25
O/Z
IPU
AAOE/ ASDRAS/ ASOE AAWE/ ASDWE/ ASWE ASDCKE
J24
J24
O/Z
IPU
EMIFA asynchronous memory output-enable/SDRAM strobe/programmable synchronous interface output-enable
row-address
K26
K26
O/Z
IPU
EMIFA asynchronous memory write-enable/SDRAM write-enable/programmable synchronous interface write-enable EMIFA SDRAM clock-enable (used for self-refresh mode). * If SDRAM is not in system, ASDCKE can be used as a general-purpose output. EMIFA synchronous memory output-enable for ACE3 (for glueless FIFO interface)
L25
L25
O/Z
IPU
ASOE3
R22
R22
O/Z
IPU
AARDY L22 L22 I IPU Asynchronous memory ready input I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
June 2003
SPRS222
71
PRODUCT PREVIEW
EMIFA asynchronous memory read-enable/SDRAM column-address strobe/programmable synchronous interface-address strobe or read-enable * For programmable synchronous interface, the RENEN field in the CE Space Secondary Control Register (CExSEC) selects between ASADS and ASRE: If RENEN = 0, then the ASADS/ASRE signal functions as the ASADS signal. If RENEN = 1, then the ASADS/ASRE signal functions as the ASRE signal.
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU EMIFA (32-BIT) - ADDRESS AEA22 AEA21 AEA20 AEA19 AEA18 AEA17 AEA16 AEA15 AEA14 AEA13 AEA12 AEA11 U23 V24 V25 V26 V23 U24 U25 U26 T24 T25 R23 R24 P23 P24 P26 N23 N24 N26 M23 M24 U23 V24 V25 V26 V23 U24 U25 U26 T24 T25 R23 R24 P23 P24 P26 N23 N24 N26 M23 M24 EMIFA (32-BIT) - DATA AED31 AED30 AED29 AED28 AED27 AED26 AED25 AED24 AED23 AED22 AED21 AED20 AED19 AED18 AED17 AED16 AED15 C26 C25 D26 D25 E24 E25 F24 F25 F23 F26 G24 G25 G23 G26 H23 H24 C19 C26 C25 D26 D25 E24 E25 F24 F25 F23 F26 G24 G25 G23 G26 H23 H24 C19 I/O/Z IPU EMIFA external data For more details, see the Device Configurations section of this data sheet. O/Z IPD - EMIF clock select - AEA[20:19]: Clock mode select for EMIFA (AECLKIN_SEL[1:0]) 00 - AECLKIN (default mode) 01 - CPU/4 Clock Rate 10 - CPU/6 Clock Rate 11 - Reserved EMIFA external address (doubleword address) * Also controls initialization of DSP modes at reset (I) via pullup/pulldown resistors - Boot mode (AEA[22:21]): 00 - No boot (default mode) 01 - HPI [DM641 only]; Reserved [For DM640 device] 10 - Reserved 11 - EMIFA boot DESCRIPTION
PRODUCT PREVIEW
AEA10 AEA9 AEA8 AEA7 AEA6 AEA5 AEA4 AEA3
AED14 D19 D19 I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
72
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME AED13 AED12 AED11 AED10 AED9 AED8 AED7 AED6 AED5 AED4 AED3 AED2 AED1 AED0 MDCLK MDIO SIGNAL DM641 A20 D20 B20 C20 A21 D21 B21 C21 A23 C22 B22 B23 A24 B24 R5 P5 DM640 A20 D20 B20 C20 A21 D21 B21 C21 A23 C22 B22 B23 B24 MANAGEMENT DATA INPUT/OUTPUT (MDIO) R5 P5 I/O/Z I/O/Z IPD IPU MDIO serial clock input/output (I/O/Z). MDIO serial data input/output (I/O/Z). VCXO Interpolated Control Port (VIC) single-bit digital-to-analog converter (VDAC) output [output only]. I/O/Z IPU EMIFA external data TYPE IPD/ IPU DESCRIPTION
EMIFA (32-BIT) - DATA (CONTINUED)
VCX0 INTERPOLATED CONTROL PORT (VIC) VDAC AD1 AD1 O/Z IPD
VIDEO PORTS (VP0 [DM641/DM640] AND VP1 [DM641 ONLY]) STCLK VP1D[7] VP1D[6]/CLKR1 VP1D[5]/FSR1 VP1D[4]/DR1 VP1D[3]/CLKS1 VP1D[2]/DX1 VP1D[1]/FSX1 VP1D[0]/CLKX1 VP1CLK1 VP1CLK0 VP1CTL2 VP1CTL1 AC1 AC8 AD8 AC7 AD7 AE7 AC6 AD6 AE6 AF10 AF8 AD5 AE5 AC1 -- *** *** *** *** *** *** *** -- -- -- -- I/O/Z IPD I VP1 clock 1 (I/O/Z) VP1 clock 0 (I) VP1 control 2 (I/O/Z) VP1 control 1 (I/O/Z) I/O/Z IPD Video port 1 (VP1) data input/output (I/O/Z) [default] or McBSP1 data input/ output (I/O/Z) [DM641 only] ***The DM640 device does not support the VP1 peripheral; therefore, the McBSP1 peripheral pins are standalone peripheral functions, not muxed. For more details on the McBSP1 pin functions [for both the DM641 and DM640 devices], see McBSP1 section of this table. I IPD The STCLK signal drives the hardware counter on the video ports. 8-BIT VIDEO PORT 1 (VP1) [DM641 ONLY]
VP1CTL0 AF4 -- VP1 control 0 (I/O/Z) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
June 2003
SPRS222
73
PRODUCT PREVIEW
A24
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME VP0D[7] VP0D[6]/CLKR0 VP0D[5]/FSR0 VP0D[4]/DR0 VP0D[3]/CLKS0 VP0D[2]/DX0 VP0D[1]/FSX0 VP0D[0]/CLKX0 VP0CLK1 VP0CLK0 VP0CTL2 VP0CTL1 SIGNAL DM641 AD15 AE15 AB16 AC16 AD16 AE16 AF16 AF17 AF12 AF14 AD17 AC17 AE17 DM640 AD15 AE15 AB16 AC16 AD16 AE16 AF16 AF17 AF12 AF14 AD17 AC17 AE17 I/O/Z I/O/Z I IPD VP0 clock 1 (I/O/Z) VP0 clock 0 (I) VP0 control 2 (I/O/Z) VP0 control 1 (I/O/Z) VP0control 0 (I/O/Z) TIMER 2 -- -- No external pins. The timer 2 peripheral pins are not pinned out as external pins. TIMER 1 Timer 1 output (O/Z) or device endian mode (I). Also controls initialization of DSP modes at reset via pullup/pulldown resistors - Device Endian mode 0 - Big Endian 1 - Little Endian (default) For more details on LENDIAN, see the Device Configurations section of this data sheet. Timer 1 or general-purpose input TIMER 0 Timer 0 output (O/Z) or MAC enable select bit (I) MAC enable pin. The MAC_EN pin controls the selection (enable/disable) of the EMAC and MDIO peripherals. For more details, see the Device Configurations section of this data sheet. TINP0 SCL0 A4 E4 A4 E4 I I/O/Z IPD -- Timer 0 or general-purpose input I2C0 clock. I/O/Z IPD For more details on the McBSP0 pin functions, see McBSP0 section of this table. Video port 0 (VP0) data input/output (I/O/Z) [default] or McBSP0 data input/ output (I/O/Z) TYPE IPD/ IPU DESCRIPTION
8-BIT VIDEO PORT 0 (VP0) [DM641 AND DM640]
PRODUCT PREVIEW
VP0CTL0
TOUT1/LENDIAN
B5
B5
O/Z
IPU
TINP1
A5
A5
I
IPD
TOUT0/MAC_EN
C5
C5
O/Z
IPD
INTER-INTEGRATED CIRCUIT 0 (I2C0) SDA0 D3 D3 I/O/Z -- I2C0 data. I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
74
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU DESCRIPTION
MULTICHANNEL BUFFERED SERIAL PORT 1 (McBSP1) [DM641 ONLY] VP1D[6]/CLKR1 VP1D[5]/FSR1 VP1D[4]/DR1 VP1D[3]/CLKS1 VP1D[2]/DX1 VP1D[1]/FSX1 VP1D[0]/CLKX1 CLKR1 FSR1 DR1 CLKS1 DX1 FSX1 CLKX1 AD8 AC7 AD7 AE7 AC6 AD6 AE6 -- -- -- -- -- -- -- -- -- -- -- -- -- -- AD8 AC7 AD7 AE7 AC6 AD6 AE6 I/O/Z I/O/Z I I I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I I I/O/Z I/O/Z I/O/Z IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD Video Port 1 (VP1) input/output data 6 pin (I/O/Z) [default] or McBSP1 receive clock (I/O/Z) VP1 input/output data 5 pin (I/O/Z) [default] or McBSP1 receive frame sync (I/O/Z) VP1 input/output data 4 pin (I/O/Z) [default] or McBSP1 receive data (I) VP1 input/output data 3 pin (I/O/Z) [default] or McBSP1 external clock source (I) (as opposed to internal) VP1 input/output data 2 pin (I/O/Z) [default] or McBSP1 transmit data (O/Z) VP1 input/output data 1 pin (I/O/Z) [default] or McBSP1 transmit frame sync (I/O/Z) VP1 input/output data 0 pin (I/O/Z) [default] or McBSP1 transmit clock (I/O/Z) McBSP1 receive clock (I/O/Z) McBSP1 receive frame sync (I/O/Z) McBSP1 receive data (I) McBSP1 external clock source (I) (as opposed to internal) McBSP1 transmit data (O/Z) McBSP1 transmit frame sync (I/O/Z) McBSP1 transmit clock (I/O/Z) Video Port 0 (VP0) input/output data 6 pin (I/O/Z) [default] or McBSP0 receive clock (I/O/Z) VP0 input/output data 5 pin (I/O/Z) [default] or McBSP0 receive frame sync (I/O/Z) VP0 input/output data 4 pin (I/O/Z) [default] or McBSP0 receive data (I) VP0 input/output data 3 pin (I/O/Z) [default] or McBSP0 external clock source (I) (as opposed to internal) VP0 input/output data 2 pin (I/O/Z) [default] or McBSP0 transmit data (O/Z) VP0 input/output data 1 pin (I/O/Z) [default] or McBSP0 transmit frame sync (I/O/Z)
MULTICHANNEL BUFFERED SERIAL PORT 1 (McBSP1) [DM640 ONLY]
MULTICHANNEL BUFFERED SERIAL PORT 0 (McBSP0) VP0D[6]/CLKR0 VP0D[5]/FSR0 VP0D[4]/DR0 VP0D[3]/CLKS0 VP0D[2]/DX0 VP0D[1]/FSX0 AE15 AB16 AC16 AD16 AE16 AF16 AE15 AB16 AC16 AD16 AE16 AF16 I/O/Z I/O/Z I I O/Z I/O/Z IPD IPD IPU IPD IPU IPD
VP0D[0]/CLKX0 AF17 AF17 I/O/Z IPD VP0 input/output data 0 pin (I/O/Z) [default] or McBSP0 transmit clock (I/O/Z) I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
June 2003
SPRS222
75
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU ETHERNET MAC (EMAC) MRCLK MCR MRXER MRXDV MRXD3 MRXD2 MRXD1 MRXD0 MTCLK G1 H3 G2 J4 H2 J3 J1 K4 L4 K2 L3 L2 M4 M2 M3 G1 H3 G2 J4 H2 J3 J1 K4 L4 K2 L3 L2 M4 M2 M3 I I I I I I I I I I O/Z O/Z O/Z O/Z O/Z EMAC Media Independent I/F (MII) data, clocks, and control pins for Transmit/Receive. MII transmit clock (MTCLK), Transmit clock source from the attached PHY. MII transmit data (MTXD[3:0]), Transmit data nibble synchronous with transmit clock (MTCLK). MII transmit enable (MTXEN), This signal indicates a valid transmit data on the transmit data pins (MTDX[3:0]). MII collision sense (MCOL) Assertion of this signal during half-duplex operation indicates network collision. During full-duplex operation, transmission of new frames will not begin if this pin is asserted. MII carrier sense (MCRS) Indicates a frame carrier signal is being received. MII receive data (MRXD[3:0]), Receive data nibble synchronous with receive clock (MRCLK). MII receive clock (MRCLK), Receive clock source from the attached PHY. MII receive data valid (MRXDV), This signal indicates a valid data nibble on the receive data pins (MRDX[3:0]). MII receive error (MRXER), Indicates reception of a coding error on the receive data. DESCRIPTION
PRODUCT PREVIEW
MCOL MTXEN MTXD3 MTXD2 MTXD1 MTXD0
MULTICHANNEL AUDIO SERIAL PORT 0 (McASP0) CONTROL AHCLKX0 AFSX0 ACLKX0 AMUTE0 AMUTEIN0 AHCLKR0 AFSR0 ACLKR0 AXR0[3] AXR0[2] AXR0[1] AC12 AD12 AB13 AC13 AD13 AB14 AC14 AD14 AE11 AC10 AD10 AC12 AD12 AB13 AC13 AD13 AB14 AC14 AD14 AE11 AC10 AD10 I/O/Z IPD McASP0 TX/RX data pins [3:0] (I/O/Z). I/O/Z I/O/Z I/O/Z O/Z I/O/Z I/O/Z I/O/Z I/O/Z IPD IPD IPD IPD IPD IPD IPD IPD McASP0 transmit high-frequency master clock (I/O/Z). McASP0 transmit frame sync or left/right clock (LRCLK) (I/O/Z). McASP0 transmit bit clock (I/O/Z). McASP0 mute output (O/Z). McASP0 mute input (I/O/Z). McASP0 receive high-frequency master clock (I/O/Z). McASP0 receive frame sync or left/right clock (LRCLK) (I/O/Z). McASP0 receive bit clock (I/O/Z).
MULTICHANNEL AUDIO SERIAL PORT 0 (McASP0) DATA
AXR0[0] AC9 AC9 I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.) These pins are multiplexed pins. For more details, see the Device Configurations section of this data sheet.
76
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions
SIGNAL DM641 TYPE IPD/ IPU RESERVED FOR TEST RSV RSV RSV RSV E2 -- H7 R6 E2 Y1 H7 R6 I I/O/Z IPD -- Reserved. For proper DM641/DM640 device operation, this pin at device reset must be pulled down via a 10-k resistor. Reserved [for DM640 Only]. For proper DM640 device operation, this pin at device reset must be pulled down via a 10-k resistor. Reserved. This pin must be connected directly to CVDD for proper device operation. Reserved. This pin must be connected directly to DVDD for proper device operation. ADDITIONAL RESERVED FOR TEST A7 A9 A10 A11 A13 B8 B9 B10 B11 B12 C1 C7 C8 C9 C10 RSV C11 C12 D7 D8 D9 D10 D11 D12 E11 E12 E13 E14 F1 G3 G4 A7 A9 A10 A11 A13 B8 B9 B10 B11 B12 C1 C7 C8 C9 C10 C11 C12 D7 D8 D9 D10 D11 D12 E11 E12 E13 E14 F1 G3 G4 I I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z IPD IPD IPD IPD IPD IPD IPD IPD IPD -- IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD IPD -- -- -- -- Pull down via a 10-k resistor Reserved (leave unconnected, do not connect to power or ground) Pull down via a 10-k resistor Reserved (leave unconnected, do not connect to power or ground) IPD DESCRIPTION
NAME
DM640
H4 H4 I/O/Z -- I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
77
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 J2 K1 K3 R4 R25 R26 T4 T22 T23 V4 W7 W23 Y23 DM640 J2 K1 K3 R4 R25 R26 T4 T22 T23 V4 W7 W23 Y23 Y24 Y25 Y26 AA3 AA23 AA24 AA25 AA26 AB3 AB11 AB12 AB15 AB23 AB24 AB25 AC4 AC11 AC15 AC19 AC20 AC21 AC25 AC26 AD3 AD9 AD11 AD19 TYPE I/O/Z I/O/Z I/O/Z I O/Z O/Z O O/Z O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z IPD/ IPU -- -- -- IPU IPU IPU IPD IPU IPU -- -- IPU IPU IPU IPU IPU -- IPU IPU IPU IPU -- IPD IPD IPD IPU IPU IPU -- IPD IPD IPU IPU IPU IPU IPU -- IPD IPD IPU Reserved (leave unconnected, do not connect to power or ground) Pull down via a 10-k resistor Reserved (leave unconnected, do not connect to power or ground) Pull down via a 10-k resistor DESCRIPTION
PRODUCT PREVIEW
Y24 Y25 Y26 AA3 AA23 AA24 AA25 RSV AA26 AB3 AB11 AB12 AB15 AB23 AB24 AB25 AC4 AC11 AC15 AC19 AC20 AC21 AC25 AC26 AD3 AD9 AD11 AD19
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
78
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 AD20 AD21 AD22 AD23 AD25 AD26 AE9 AE18 AE20 AE21 AE22 AE23 AF3 AF5 AF6 AF18 AF20 AF21 AF23 AF24 RSV -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DM640 AD20 AD21 AD22 AD23 AD25 AD26 AE9 AE18 AE20 AE21 AE22 AE23 AF3 AF5 AF6 AF18 AF20 AF21 AF23 AF24 M1 N1 N3 N4 P1 P3 R1 R2 R3 T2 T3 U1 U2 U3 U4 V1 V2 V3 W2 W3 TYPE I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z -- I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z IPD/ IPU IPU IPU IPU IPU IPU IPU IPD IPD IPU IPU IPU IPU -- IPD IPD IPU IPU IPU IPU -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Pull down via a 10-k resistor Pull up via a 10-k resistor Pull up via a 10-k resistor Pull down via a 10-k resistor Reserved (leave unconnected, do not connect to power or ground) DESCRIPTION
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
79
PRODUCT PREVIEW
IPD
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 -- -- -- -- -- -- RSV -- -- -- -- -- -- Y2 Y3 Y4 AA1 AC8 AD5 AE5 AF4 AF8 AF10 DM640 W4 TYPE I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I/O/Z I I/O/Z IPD/ IPU -- -- -- -- -- -- IPD IPD IPD IPD IPD IPD SUPPLY VOLTAGE PINS Reserved (leave unconnected, do not connect to power or ground) Pull down via a 10-k resistor DESCRIPTION
PRODUCT PREVIEW
A2 A25 B1 B2 B14 B25 B26 C3 C24 D4 D23 DVDD E5 E7 E8 E10 E17 E19 E20 E22 F9 F12 F15 F18
A2 A25 B1 B2 B14 B25 B26 C3 C24 D4 D23 E5 E7 E8 E10 E17 E19 E20 E22 F9 F12 F15 S 3.3-V supply voltage
F18 I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
80
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 G5 G22 H5 H22 J6 J21 K5 K22 M6 M21 N2 P25 R21 U5 U22 V21 DVDD W5 W22 W25 Y5 Y22 AA9 AA12 AA15 AA18 AB5 AB7 AB8 AB10 AB17 AB19 AB20 DM640 G5 G22 H5 H22 J6 J21 K5 K22 M6 M21 N2 P25 R21 U5 U22 V21 W5 W22 W25 Y5 Y22 AA9 AA12 AA15 AA18 AB5 AB7 AB8 AB10 AB17 AB19 AB20 S 3.3-V supply voltage TYPE IPD/ IPU DESCRIPTION
SUPPLY VOLTAGE PINS (CONTINUED)
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
81
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU DESCRIPTION
SUPPLY VOLTAGE PINS (CONTINUED) AB22 AC23 AD24 AE1 AE2 DVDD AE13 AE25 AE26 AF2 AF25 AB22 AC23 AD24 AE1 AE2 AE13 AE25 AE26 AF2 AF25 F6 F7 F20 F21 G6 G7 G8 G10 G11 G13 G14 G16 G17 G19 G20 G21 H20 K7 K20 L7 L20 M12 M14 N7 S 1.2-V supply voltage (-400, -500 devices) 1.4-V supply voltage (-600 device) S 3.3-V supply voltage
PRODUCT PREVIEW
F6 F7 F20 F21 G6 G7 G8 G10 G11 G13 G14 G16 CVDD G17 G19 G20 G21 H20 K7 K20 L7 L20 M12 M14 N7
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
82
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU DESCRIPTION
SUPPLY VOLTAGE PINS (CONTINUED) N13 N15 N20 P7 P12 P14 P20 R13 R15 T7 T20 U7 U20 W20 Y6 CVDD Y7 Y8 Y10 Y11 Y13 Y14 Y16 Y17 Y19 Y20 Y21 AA6 AA7 AA20 AA21 N13 N15 N20 P7 P12 P14 P20 R13 R15 T7
U7 U20 W20 Y6 Y7 Y8 Y10 Y11 Y13 Y14 Y16 Y17 Y19 Y20 Y21 AA6 AA7 AA20 AA21 S 1.2-V supply voltage (-400, -500 devices) 1.4-V supply voltage (-600 device)
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
83
PRODUCT PREVIEW
T20
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU GROUND PINS DESCRIPTION
A1 A3 A6 A8 A12 A14 A19 A22 A26 B3
A1 A3 A6 A8 A12 A14 A19 A22 A26 B3 B6 B7 B13 B19 C2 C4 C13 C18 C23 D1 D2 D5 D13 D18 D22 D24 E3 E6 E9 E16 E18 E21 E23 E26 GND Ground pins
PRODUCT PREVIEW
B6 B7 B13 B19 C2 C4 C13 VSS C18 C23 D1 D2 D5 D13 D18 D22 D24 E3 E6 E9 E16 E18 E21 E23 E26
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
84
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU GROUND PINS (CONTINUED) DESCRIPTION
F5 F8 F10 F11 F13 F14 F16 F17 F19 F22 G9 G12 G15 G18 H1 H6 H21 VSS H26 J5 J7 J20 J22 K6 K21 L1 L6 L21 M7 M13 M15 M20 N5 N6 N12
F5 F8 F10 F11 F13 F14 F16 F17 F19 F22 G9 G12 G15 G18 H1 H6 H21 H26 J5 J7 J20 J22 K6 K21 L1 L6 L21 M7 M13 M15 M20 N5 N6 N12 GND Ground pins
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
85
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU GROUND PINS (CONTINUED) DESCRIPTION
N14 N21 N25 P2 P6 P13 P15 P21 R7 R12
N14 N21 N25 P2 P6 P13 P15 P21 R7 R12 R14 R20 T1 T5 T6 T21 T26 U6 U21 V5 V7 V20 V22 W1 W6 W21 W26 Y9 Y12 Y15 Y18 AA4 AA5 AA8 GND Ground pins
PRODUCT PREVIEW
R14 R20 T1 T5 T6 T21 T26 VSS U6 U21 V5 V7 V20 V22 W1 W6 W21 W26 Y9 Y12 Y15 Y18 AA4 AA5 AA8
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
86
SPRS222
June 2003
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU GROUND PINS (CONTINUED) AA10 AA11 AA13 AA14 AA16 AA17 AA19 AA22 AB1 AB2 AB4 AB6 AB9 AB18 AB21 AB26 VSS AC3 AC5 AC18 AC22 AC24 AD2 AD4 AD18 AE3 AE8 AE10 AE12 AE14 AE19 AE24 AF1 AF7 AA10 AA11 AA13 AA14 AA16 AA17 AA19 AA22 AB1 AB2 AB4 AB9 AB18 AB21 AB26 AC3 AC5 AC18 AC22 AC24 AD2 AD4 AD18 AE3 AE8 AE10 AE12 AE14 AE19 AE24 AF1 GND Ground pins AB6 DESCRIPTION
AF7 I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
June 2003
SPRS222
87
PRODUCT PREVIEW
Terminal Functions
Table 2-9. Terminal Functions (Continued)
NAME SIGNAL DM641 DM640 TYPE IPD/ IPU GROUND PINS (CONTINUED) AF9 AF11 AF13 VSS AF15 AF19 AF22 AF26 AF9 AF11 AF13 AF15 AF19 AF22 AF26 GND Ground pins DESCRIPTION
I = Input, O = Output, Z = High impedance, S = Supply voltage, GND = Ground IPD = Internal pulldown, IPU = Internal pullup. (These IPD/IPU signal pins feature a 30-k IPD or IPU resistor. To pull up a signal to the opposite supply rail, a 1-k resistor should be used.)
PRODUCT PREVIEW
88 SPRS222
June 2003
Development Support
2.8
Development Support
TI offers an extensive line of development tools for the TMS320C6000 DSP platform, including tools to evaluate the performance of the processors, generate code, develop algorithm implementations, and fully integrate and debug software and hardware modules. The following products support development of C6000 DSP-based applications: Software Development Tools: Code Composer Studio Integrated Development Environment (IDE): including Editor C/C++/Assembly Code Generation, and Debug plus additional development tools Scalable, Real-Time Foundation Software (DSP/BIOS), which provides the basic run-time target software needed to support any DSP application. Hardware Development Tools: Extended Development System (XDS) Emulator (supports C6000 DSP multiprocessor system debug) EVM (Evaluation Module) For a complete listing of development-support tools for the TMS320C6000 DSP platform, visit the Texas Instruments web site on the Worldwide Web at http://www.ti.com uniform resource locator (URL). For information on pricing and availability, contact the nearest TI field sales office or authorized distributor.
Code Composer Studio, DSP/BIOS, XDS, and TMS320 are trademarks of Texas Instruments.
June 2003
SPRS222
89
PRODUCT PREVIEW
Device and Development-Support Tool Nomenclature
2.9
Device and Development-Support Tool Nomenclature
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all TMS320 DSP devices and support tools. Each TMS320 DSP commercial family member has one of three prefixes: TMX, TMP, or TMS. Texas Instruments recommends two of three possible prefix designators for support tools: TMDX and TMDS. These prefixes represent evolutionary stages of product development from engineering prototypes (TMX/TMDX) through fully qualified production devices/tools (TMS/TMDS). Device development evolutionary flow:
TMX TMP TMS Experimental device that is not necessarily representative of the final device's electrical specifications Final silicon die that conforms to the device's electrical specifications but has not completed quality and reliability verification Fully qualified production device
Support tool development evolutionary flow:
PRODUCT PREVIEW
TMDX Development-support product that has not yet completed Texas Instruments internal qualification testing. TMDS Fully qualified development-support product
TMX and TMP devices and TMDX development-support tools are shipped with appropriate disclaimers describing their limitations and intended uses. Experimental devices (TMX) may not be representative of a final product and Texas Instruments reserves the right to change or discontinue these products without notice. TMS devices and TMDS development-support tools have been characterized fully, and the quality and reliability of the device have been demonstrated fully. TI's standard warranty applies. Predictions show that prototype devices (TMX or TMP) have a greater failure rate than the standard production devices. Texas Instruments recommends that these devices not be used in any production system because their expected end-use failure rate still is undefined. Only qualified production devices are to be used. TI device nomenclature also includes a suffix with the device family name. This suffix indicates the package type (for example, GDK), the temperature range (for example, blank is the default commercial temperature range), and the device speed range in megahertz (for example, -600 is 600 MHz). Figure 2-10 provides a legend for reading the complete device name for any TMS320C6000 DSP platform member.
TMS320 is a trademark of Texas Instruments.
90
SPRS222
June 2003
Device and Development-Support Tool Nomenclature
TMX 320 DM641 GDK PREFIX TMX = Experimental device TMP = Prototype device TMS = Qualified device SMX= Experimental device, MIL SMJ = MIL-PRF-38535, QML SM = High Rel (non-38535) () 600 DEVICE SPEED RANGE 400 (400-MHz CPU, 100-MHz EMIF) 500 (500-MHz CPU, 100-MHz EMIF) 600 (600-MHz CPU, 133-MHz EMIF) TEMPERATURE RANGE (DEFAULT: 0C TO 90C) Blank = 0C to 90C, commercial temperature A = -40C to 105C, extended temperature
DEVICE FAMILY 320 = TMS320t DSP family
PACKAGE TYPE GDK = 548-pin plastic BGA GNZ = 548-pin plastic BGA DEVICE DM64x DSP: 642 641 640
Figure 2-10. TMS320DM64x DSP Device Nomenclature (Including the DM641 and DM640 Devices)
June 2003
SPRS222
91
PRODUCT PREVIEW
For more details, see the recommended operating conditions portion of this data sheet. BGA = Ball Grid Array
Documentation Support
2.10 Documentation Support
Extensive documentation supports all TMS320 DSP family generations of devices from product announcement through applications development. The types of documentation available include: data sheets, such as this document, with design specifications; complete user's reference guides for all devices and tools; technical briefs; development-support tools; on-line help; and hardware and software applications. The following is a brief, descriptive list of support documentation specific to the C6000 DSP devices: The TMS320C6000 CPU and Instruction Set Reference Guide (literature number SPRU189) describes the C6000 DSP CPU (core) architecture, instruction set, pipeline, and associated interrupts. The TMS320C6000 Peripherals Reference Guide (literature number SPRU190) describes the functionality of the peripherals available on the C6000 DSP platform of devices, such as the 64-/32-/16-bit external memory interfaces (EMIFs), enhanced direct-memory-access (EDMA) controller, multichannel buffered serial ports (McBSPs), 32-/16-bit host-port interfaces (HPIs), a peripheral component interconnect (PCI), clocking and phase-locked loop (PLL); general-purpose timers, general-purpose input/output port (GP0), and power-down modes. This guide also includes information on internal data and program memories. The TMS320C64x Technical Overview (literature number SPRU395) gives an introduction to the C64x digital signal processor, and discusses the application areas that are enhanced by the C64x DSP VelociTI.2 VLIW architecture. The TMS320C64x DSP Video Port/VCXO Interpolated Control (VIC) Port Reference Guide (literature number SPRU629) describes the functionality of the Video Port and VIC Port peripherals. The TMS320C6000 DSP Multichannel Audio Serial Port (McASP) Reference Guide (literature number SPRU041) describes the functionality of the McASP peripheral. TMS320C6000 DSP Inter-Integrated Circuit (I2C) Module Peripheral Reference Guide (literature number SPRU175) describes the functionality of the I2C peripheral. TMS320C6000 DSP Ethernet Media Access Controller (EMAC)/ Management Data Input/Output (MDIO) Module Reference Guide (literature number SPRU628) describes the functionality of the EMAC and MDIO peripherals. The Using IBIS Models for Timing Analysis application report (literature number SPRA839) describes how to properly use IBIS models to attain accurate timing analysis for a given system. The tools support documentation is electronically available within the Code Composer Studio Integrated Development Environment (IDE). For a complete listing of C6000 DSP latest documentation, visit the Texas Instruments web site on the Worldwide Web at http://www.ti.com uniform resource locator (URL).
PRODUCT PREVIEW
92
SPRS222
June 2003
Clock PLL
2.11 Clock PLL
Most of the internal C64x DSP clocks are generated from a single source through the CLKIN pin. This source clock either drives the PLL, which multiplies the source clock frequency to generate the internal CPU clock, or bypasses the PLL to become the internal CPU clock. To use the PLL to generate the CPU clock, the external PLL filter circuit must be properly designed. Figure 2-11 shows the external PLL circuitry for either x1 (PLL bypass) or other PLL multiply modes. To minimize the clock jitter, a single clean power supply should power both the C64x DSP device and the external clock oscillator circuit. The minimum CLKIN rise and fall times should also be observed. For the input clock timing requirements, see the input and output clocks electricals section. Rise/fall times, duty cycles (high/low pulse durations), and the load capacitance of the external clock source must meet the DSP requirements in this data sheet (see the electrical characteristics over recommended ranges of supply voltage and operating case temperature table and the input and output clocks electricals section).
June 2003
SPRS222
93
PRODUCT PREVIEW
Clock PLL
3.3 V CPU Clock EMI filter C1 10 F C2 0.1 F /8 PLLV /4 CLKMODE0 CLKMODE1 /2 Peripheral Bus, EDMA Clock, L2 Clock Timer Internal Clock CLKOUT4, Peripheral Clock (AUXCLK for McASP), McBSP Internal Clock CLKOUT6
PLLMULT PLL x6, x12
/6
CLKIN
PLLCLK
1 0
00 01 10
/4
PRODUCT PREVIEW
ECLKIN AEA[20:19] Internal to DM641/DM640 EMIF
/2
00 01 10
EK2RATE (GBLCTL.[19,18])
(For the PLL Options, CLKMODE Pins Setup, and PLL Clock Frequency Ranges, see Table 9.)
ECLKOUT1
ECLKOUT2
NOTES: A. Place all PLL external components (C1, C2, and the EMI Filter) as close to the C6000 DSP device as possible. For the best performance, TI recommends that all the PLL external components be on a single side of the board without jumpers, switches, or components other than the ones shown. B. For reduced PLL jitter, maximize the spacing between switching signals and the PLL external components (C1, C2, and the EMI Filter). C. The 3.3-V supply for the EMI filter must be from the same 3.3-V power plane supplying the I/O voltage, DVDD. D. EMI filter manufacturer TDK part number ACF451832-333, -223, -153, -103. Panasonic part number EXCCET103U.
Figure 2-11. External PLL Circuitry for Either PLL Multiply Modes or x1 (Bypass) Mode
94
SPRS222
June 2003
Clock PLL
Table 2-10. TMS320DM641/DM640 PLL Multiply Factor Options, Clock Frequency Ranges, and Typical Lock Time
GDK PACKAGE - 23 x 23 mm BGA, GNZ PACKAGE - 27 x 27 mm BGA CLKMODE1 CLKMODE0 0 0 1 0 1 0 CLKMODE (PLL MULTIPLY FACTORS) Bypass (x1) x6 x12 CLKIN RANGE (MHz) 30-75 30-75 30-50 CPU CLOCK FREQUENCY RANGE (MHz) 30-75 180-450 360-600 CLKOUT4 RANGE (MHz) 7.5-18.8 45-112.5 90-150 CLKOUT6 RANGE (MHz) 5-12.5 30-75 60-100 75 TYPICAL LOCK TIME (s) N/A
1 1 Reserved - - - - - These clock frequency range values are applicable to a DM641-600 speed device. For -400, -500 device speed values, see the CLKIN timing requirements table for the specific device speed. Use external pullup resistors on the CLKMODE pins (CLKMODE1 and CLKMODE0) to set the DM641/DM640 device to one of the valid PLL multiply clock modes (x6 or x12). With internal pulldown resistors on the CLKMODE pins (CLKMODE1, CLKMODE0), the default clock mode is x1 (bypass). Under some operating conditions, the maximum PLL lock time may vary by as much as 150% from the specified typical value. For example, if the typical lock time is specified as 100 s, the maximum value may be as long as 250 s.
June 2003
SPRS222
95
PRODUCT PREVIEW
Multichannel Audio Serial Port (McASP0) Peripheral
2.12 Multichannel Audio Serial Port (McASP0) Peripheral
The TMS320DM641/DM640 device includes one multichannel audio serial port (McASP) interface peripheral (McASP0). The McASP is a serial port optimized for the needs of multi-channel audio applications. The McASP consists of a transmit and receive section. These sections can operate completely independently with different data formats, separate master clocks, bit clocks, and frame syncs or alternatively, the transmit and receive sections may be synchronized. The McASP module also includes a pool of 16 shift registers that may be configured to operate as either transmit data, receive data, or general-purpose I/O (GPIO). The transmit section of the McASP can transmit data in either a time-division-multiplexed (TDM) synchronous serial format or in a digital audio interface (DIT) format where the bit stream is encoded for S/PDIF, AES-3, IEC-60958, CP-430 transmission. The receive section of the McASP supports the TDM synchronous serial format. The McASP can support one transmit data format (either a TDM format or DIT format) and one receive format at a time. All transmit shift registers use the same format and all receive shift registers use the same format. However, the transmit and receive formats need not be the same. Both the transmit and receive sections of the McASP also support burst mode which is useful for non-audio data (for example, passing control information between two DSPs). The McASP peripheral has additional capability for flexible clock generation, and error detection/handling, as well as error management. For more detailed information on and the functionality of the McASP peripheral, see the TMS320C6000 DSP Multichannel Audio Serial Port (McASP) Reference Guide (literature number SPRU041).
PRODUCT PREVIEW
2.12.1
McASP Block Diagram
Figure 2-12 illustrates the major blocks along with external signals of the DM641/DM640 McASP0 peripheral; and shows the 4 serial data [AXR] pins. The McASP also includes full general-purpose I/O (GPIO) control, so any pins not needed for serial transfers can be used for general-purpose I/O.
96
SPRS222
June 2003
Multichannel Audio Serial Port (McASP0) Peripheral
McASP0 Transmit Frame Sync Generator
DIT RAM Transmit Clock Check (HighFrequency)
AFSX0
Transmit Clock Generator
AHCLKX0 ACLKX0
Error Detect Receive Clock Check (HighFrequency) DMA Transmit Transmit Data Formatter INDIVIDUALLY PROGRAMMABLE TX/RX/GPIO
AMUTE0 AMUTEIN0
Receive Clock Generator
AHCLKR0 ACLKR0
Serializer 0 Serializer 1 Serializer 2 Serializer 3 Serializer 4 Serializer 5 Serializer 6 Serializer 7
AXR0[0] AXR0[1] AXR0[2] AXR0[3]
DMA Receive
Receive Data Formatter
GPIO Control
Figure 2-12. McASP0 Configuration
June 2003
SPRS222
97
PRODUCT PREVIEW
Receive Frame Sync Generator
AFSR0
I2C
2.13 I2C
The I2C module on the TMS320DM641/DM640 may be used by the DSP to control local peripherals ICs (DACs, ADCs, etc.) while the other may be used to communicate with other controllers in a system or to implement a user interface. The I2C port supports:
* * * * * * *
Compatible with Philips I2C Specification Revision 2.1 (January 2000) Fast Mode up to 400 Kbps (no fail-safe I/O buffers) Noise Filter to Remove Noise 50 ns or less Seven- and Ten-Bit Device Addressing Modes Master (Transmit/Receive) and Slave (Transmit/Receive) Functionality Events: DMA, Interrupt, or Polling Slew-Rate Limited Open-Drain Output Buffers
PRODUCT PREVIEW
Figure 2-13 is a block diagram of the I2C0 module.
I2C0 Module Clock Prescale I2CPSCx Peripheral Clock (CPU/4)
SCL
Bit Clock Generator Noise Filter I2CCLKHx I2CCLKLx
Control I2COARx I2CSARx I2CMDRx Own Address Slave Address Mode Data Count
I2C Clock
Transmit I2CXSRx Transmit Shift Transmit Buffer Interrupt/DMA Noise Filter Receive I2CDRRx Receive Buffer Receive Shift I2CIERx I2CSTRx I2CISRCx Interrupt Enable Interrupt Status Interrupt Source I2CCNTx
I2CDXRx SDA I2C Data
I2CRSRx
NOTE A: Shading denotes control/status registers.
Figure 2-13. I2C0 Module Block Diagram
98
SPRS222
June 2003
Video Port
2.14 Video Port
The TMS320DM641 device has two video port peripherals [VP0 and VP1]. The TMS320DM640 device only supports one video port peripheral [VP0]. The video port peripheral can operate as a video capture port, video display port, or as a transport stream interface (TSI) capture port. The port consists of a single channel A. A 2460-byte capture/display buffer is utilized on this channel. The port is always configured for either video capture or display only. Separate data pipelines control the parsing and formatting of video capture or display data for each of the BT.656, raw video, and TSI modes. For video capture operation, the video port may operate as a single channel of 8-bit BT.656, 8-bit raw video, or 8-bit TSI. For video display operation, the video port may operate as 8-bit BT.656 or 8-bit raw video.
June 2003
SPRS222
99
PRODUCT PREVIEW
VIC
2.15 VIC
The VCXO interpolated control (VIC) port provides digital-to-analog conversation with resolution from 9-bits to up to 16-bits. The output of the VIC is a single bit interpolated D/A output (VDAC pin). Typical D/A converters provide a discrete output level for every value of the digital word that is being converted. This is a problem for digital words that are long. This is avoided in a Sigma Delta type D/A converter by choosing a few widely spaced output levels and interpolating values between them. The interpolating mechanism causes the output to oscillate rapidly between the levels in such a manner that the average output represents the value of input code. In the VIC, two output levels are chosen (0 and 1), and Sigma Delta interpolation scheme is implemented to interpolate between these levels with a rapidly changing signal. The frequency of interpolation is dependent on the resolution needed. When the video port is used in transport stream interface (TSI) mode, the VIC port is used to control the system clock, VCXO, for MPEG transport stream.
PRODUCT PREVIEW
The VIC supports the following features:
* * *
Single interpolation for D/A conversion Programmable precision from 9-to-16 bits Interface for register accesses
100
SPRS222
June 2003
EMAC
2.16 EMAC
The ethernet media access controller (EMAC) provides an efficient interface between the DM641/DM640 DSP core processor and the network. The DM641/DM640 EMAC support both 10Base-T and 100Base-TX, or 10 Mbits/second (Mbps) and 100 Mbps in either half- or full-duplex, with hardware flow control and quality of service (QOS) support. The DM641/DM640 EMAC makes use of a custom interface to the DSP core that allows efficient data transmission and reception. The EMAC controls the flow of packet data from the DSP to the PHY. The MDIO module controls PHY configuration and status monitoring. Both the EMAC and the MDIO modules interface to the DSP through a custom interface that allows efficient data transmission and reception. This custom interface is referred to as the EMAC control module, and is considered integral to the EMAC/MDIO peripheral. The control module is also used to control device reset, interrupts, and system priority.
June 2003
SPRS222
101
PRODUCT PREVIEW
MDIO
2.17 MDIO
The management data input/output (MDIO) module continuously polls all 32 MDIO addresses in order to enumerate all PHY devices in the system. The management data input/output (MDIO) module implements the 802.3 serial management interface to interrogate and control Ethernet PHY(s) using a shared two-wire bus. Host software uses the MDIO module to configure the auto-negotiation parameters of each PHY attached to the EMAC, retrieve the negotiation results, and configure required parameters in the EMAC module for correct operation. The module is designed to allow almost transparent operation of the MDIO interface, with very little maintenance from the core processor.
PRODUCT PREVIEW
102 SPRS222
June 2003
Power-Supply Sequencing
2.18 Power-Supply Sequencing
TI DSPs do not require specific power sequencing between the core supply and the I/O supply. However, systems should be designed to ensure that neither supply is powered up for extended periods of time (>1 second) if the other supply is below the proper operating voltage.
2.18.1
Power-Supply Design Considerations
A dual-power supply with simultaneous sequencing can be used to eliminate the delay between core and I/O power up. A Schottky diode can also be used to tie the core rail to the I/O rail (see Figure 2-14).
I/O Supply DVDD Schottky Diode
CVDD
VSS
GND
Figure 2-14. Schottky Diode Diagram
Core and I/O supply voltage regulators should be located close to the DSP (or DSP array) to minimize inductance and resistance in the power delivery path. Additionally, when designing for high-performance applications utilizing the C6000 platform of DSPs, the PC board should include separate power planes for core, I/O, and ground, all bypassed with high-quality low-ESL/ESR capacitors. TI DSPs do not require specific power sequencing between the core supply and the I/O supply. However, systems should be designed to ensure that neither supply is powered up for extended periods of time if the other supply is below the proper operating voltage.
2.19 Power-Supply Decoupling
In order to properly decouple the supply planes from system noise, place as many capacitors (caps) as possible close to the DSP. Assuming 0603 caps, the user should be able to fit a total of 60 caps, 30 for the core supply and 30 for the I/O supply. These caps need to be close to the DSP, no more than 1.25 cm maximum distance to be effective. Physically smaller caps are better, such as 0402, but need to be evaluated from a yield/manufacturing point-of-view. Parasitic inductance limits the effectiveness of the decoupling capacitors, therefore physically smaller capacitors should be used while maintaining the largest available capacitance value. As with the selection of any component, verification of capacitor availability over the product's production lifetime should be considered.
June 2003
SPRS222
103
PRODUCT PREVIEW
Core Supply
C6000 DSP
Power-Down Operation
2.20 Power-Down Operation
The DM641/DM640 device can be powered down in three ways:
* * *
Power-down due to pin configuration Power-down due to software configuration - relates to the default state of the peripheral configuration bits in the PERCFG register. Power-down during run-time via software configuration
On the DM641/DM640 device, the EMAC and MDIO peripherals are controlled (selected) at the pin level during chip reset (e.g., using the MAC_EN pin). The McASP0, McBSP0, McBSP1, VP0, VP1 [DM641 only], and I2C0 peripheral functions are selected via the peripheral configuration (PERCFG) register bits. For more detailed information on the peripheral configuration pins and the PERCFG register bits, see the Device Configurations section of this document.
2.21 IEEE 1149.1 JTAG Compatibility Statement
PRODUCT PREVIEW
The TMS320DM641/DM640 DSP requires that both TRST and RESET be asserted upon power up to be properly initialized. While RESET initializes the DSP core, TRST initializes the DSP's emulation logic. Both resets are required for proper operation. While both TRST and RESET need to be asserted upon power up, only RESET needs to be released for the DSP to boot properly. TRST may be asserted indefinitely for normal operation, keeping the JTAG port interface and DSP's emulation logic in the reset state. TRST only needs to be released when it is necessary to use a JTAG controller to debug the DSP or exercise the DSP's boundary scan functionality. For maximum reliability, the TMS320DM641/DM640 DSP includes an internal pulldown (IPD) on the TRST pin to ensure that TRST will always be asserted upon power up and the DSP's internal emulation logic will always be properly initialized. JTAG controllers from Texas Instruments actively drive TRST high. However, some third-party JTAG controllers may not drive TRST high but expect the use of a pullup resistor on TRST. When using this type of JTAG controller, assert TRST to intialize the DSP after powerup and externally drive TRST high before attempting any emulation or boundary scan operations. Following the release of RESET, the low-to-high transition of TRST must be "seen" to latch the state of EMU1 and EMU0. The EMU[1:0] pins configure the device for either Boundary Scan mode or Emulation mode. For more detailed information, see the terminal functions section of this data sheet.
104
SPRS222
June 2003
EMIF Device Speed
2.22 EMIF Device Speed
The rated EMIF speed of these devices only applies to the SDRAM interface when in a system that meets the following requirements:
* * * * *
1 bank (maximum of 2 chips) of SDRAM connected to EMIF up to 1 bank of buffers connected to EMIF EMIF trace lengths between 1 and 3 inches 183-MHz SDRAM for 133-MHz operation 143-MHz SDRAM for 100-MHz operation
Other configurations may be possible, but timing analysis must be done to verify all AC timings are met. Verification of AC timings is mandatory when using configurations other than those specified above. TI recommends utilizing I/O buffer information specification (IBIS) to analyze all AC timings. To properly use IBIS models to attain accurate timing analysis for a given system, see the Using IBIS Models for Timing Analysis application report (literature number SPRA839).
June 2003
SPRS222
105
PRODUCT PREVIEW
To maintain signal integrity, serial termination resistors should be inserted into all EMIF output signal lines (see the Terminal Functions table for the EMIF output signals).
Bootmode
2.23 Bootmode
The DM641/DM640 device resets using the active-low signal RESET. While RESET is low, the device is held in reset and is initialized to the prescribed reset state. Refer to reset timing for reset timing characteristics and states of device pins during reset. The release of RESET starts the processor running with the prescribed device configuration and boot mode. The DM641 has three types of boot modes while the DM641 has only two types of boot modes:
*
Host boot [DM641 only] If host boot is selected, upon release of RESET, the CPU is internally "stalled" while the remainder of the device is released. During this period, an external host can initialize the CPU's memory space as necessary through the host interface, including internal configuration registers, such as those that control the EMIF or other peripherals. For the DM641 device, the HPI peripheral is used for host boot. Once the host is finished with all necessary initialization, it must set the DSPINT bit in the HPIC register to complete the boot process. This transition causes the boot configuration logic to bring the CPU out of the "stalled" state. The CPU then begins execution from address 0. The DSPINT condition is not latched by the CPU, because it occurs while the CPU is still internally "stalled". Also, DSPINT brings the CPU out of the "stalled" state only if the host boot process is selected. All memory may be written to and read by the host. This allows for the host to verify what it sends to the DSP if required. After the CPU is out of the "stalled" state, the CPU needs to clear the DSPINT, otherwise, no more DSPINTs can be received.
PRODUCT PREVIEW
*
EMIF boot (using default ROM timings) Upon the release of RESET, the 1K-Byte ROM code located in the beginning of CE1 is copied to address 0 by the EDMA using the default ROM timings, while the CPU is internally "stalled". The data should be stored in the endian format that the system is using. In this case, the EMIF automatically assembles consecutive 8-bit bytes to form the 32-bit instruction words to be copied. The transfer is automatically done by the EDMA as a single-frame block transfer from the ROM to address 0. After completion of the block transfer, the CPU is released from the "stalled" state and starts running from address 0.
*
No boot With no boot, the CPU begins direct execution from the memory located at address 0. If SDRAM is used in the system, the CPU is internally "stalled" until the SDRAM initialization is complete. Note: operation is undefined if invalid code is located at address 0.
106
SPRS222
June 2003
Electrical Specifications
3
3.1
Electrical Specifications
Absolute Maximum Ratings Over Operating Case Temperature Range (Unless Otherwise Noted)
CVDD (see Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . - 0.3 V to 1.8 V DVDD (see Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . -0.3 V to 4 V Input voltage ranges: VI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . -0.3 V to 4 V Output voltage ranges: VO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . -0.3 V to 4 V Operating case temperature ranges, TC: (default) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 0_C to 90_C Storage temperature range, Tstg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . -65_C to 150_C Supply voltage ranges:
Stresses beyond those listed under "absolute maximum ratings" may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated under "recommended operating conditions" is not implied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability. NOTE 1: All voltage values are with respect to VSS.
3.2
CVDD CVDD DVDD VSS VIH
Recommended Operating Conditions
MIN Supply voltage, Core (-400 and -500 devices) Supply voltage, Core (-600 device) Supply voltage, I/O Supply ground High-level input voltage 1.14 1.36 3.14 0 2 NOM 1.2 1.4 3.3 0 MAX 1.26 1.44 3.46 0 UNIT V V V V V
VIL Low-level input voltage 0.8 V TC Operating case temperature 0 90 _C Future variants of the C64x DSPs may operate at voltages ranging from 1.2 V to 1.4 V to provide a range of system power/performance options. TI highly recommends that users design-in a supply that can handle multiple voltages within this range (i.e., 1.2 V, 1.25 V, 1.3 V, 1.35 V, 1.4 V with 3% tolerances) by implementing simple board changes such as reference resistor values or input pin configuration modifications. Examples of such supplies include the PT4660, PT5500, PT5520, PT6440, and PT6930 series from Power Trends, a subsidiary of Texas Instruments. Not incorporating a flexible supply may limit the system's ability to easily adapt to future versions of C64x devices.
June 2003
SPRS222
107
PRODUCT PREVIEW
Electrical Specifications
3.3
Electrical Characteristics Over Recommended Ranges of Supply Voltage and Operating Case Temperature (Unless Otherwise Noted)
PARAMETER TEST CONDITIONS DVDD = MIN, DVDD = MIN, IOH = MAX IOL = MAX MIN 2.4 0.4 10 50 -150 100 -100 150 -50 -16 -8 -0.5 16 8 1.5 10 TBD TBD TBD TBD 10 TYP MAX UNIT V V uA uA uA mA mA mA mA mA mA uA mA mA mA mA pF High-level output voltage Low-level output voltage
VOH VOL
VI = VSS to DVDD no opposing internal resistor II Input current VI = VSS to DVDD opposing internal pullup resistor VI = VSS to DVDD opposing internal pulldown resistor EMIF, CLKOUT4, CLKOUT6, EMUx IOH High-level output current Timer, TDO, GPIO (Excluding GP[2,1]), McBSP HPI [DM641] EMIF, CLKOUT4, CLKOUT6, EMUx IOL Low-level output current Timer, TDO, GPIO (Excluding GP[2,1]), McBSP HPI [DM641] IOZ ICDD IDDD Ci Off-state output current Core supply current I/O supply current Input capacitance VO = DVDD or 0 V CVDD = 1.4 V, CPU clock = 600 MHz CVDD = 1.2 V, CPU clock = 500 MHz CVDD = 1.2 V, CPU clock = 400 MHz DVDD = 3.3 V, CPU clock = 600 MHz
PRODUCT PREVIEW
Co Output capacitance 10 pF For test conditions shown as MIN, MAX, or NOM, use the appropriate value specified in the recommended operating conditions table. Applies only to pins with an internal pullup (IPU) or pulldown (IPD) resistor. Measured with average activity (50% high/50% low power). The actual current draw is highly application-dependent. For more details on core and I/O activity, refer to the TMS320C6414/5/6 Power Consumption Summary application report (literature number SPRA811).
108
SPRS222
June 2003
Electrical Specifications
3.4
Parameter Information
Tester Pin Electronics
Data Sheet Timing Reference Point
42
3.5 nH Transmission Line Z0 = 50 (see note)
Output Under Test
Device Pin (see note)
4.0 pF
1.85 pF
NOTE: The data sheet provides timing at the device pin. For output timing analysis, the tester pin electronics and its transmission line effects must be taken into account. A transmission line with a delay of 2 ns or longer can be used to produce the desired transmission line effect. The transmission line is intended as a load only. It is not necessary to add or subtract the transmission line delay (2 ns or longer) from the data sheet timings.
Figure 3-1. Test Load Circuit for AC Timing Measurements
The load capacitance value stated is only for characterization and measurement of AC timing signals. This load capacitance value does not indicate the maximum load the device is capable of driving.
3.4.1 Signal Transition Levels
All input and output timing parameters are referenced to 1.5 V for both "0" and "1" logic levels.
Vref = 1.5 V
Figure 3-2. Input and Output Voltage Reference Levels for AC Timing Measurements
All rise and fall transition timing parameters are referenced to VIL MAX and VIH MIN for input clocks, VOL MAX and VOH MIN for output clocks.
Vref = VIH MIN (or VOH MIN)
Vref = VIL MAX (or VOL MAX)
Figure 3-3. Rise and Fall Transition Time Voltage Reference Levels
3.4.2 Signal Transition Rates
All timings are tested with an input edge rate of 4 Volts per nanosecond (4 V/ns).
June 2003
SPRS222
109
PRODUCT PREVIEW
Input requirements in this data sheet are tested with an input slew rate of < 4 Volts per nanosecond (4 V/ns) at the device pin.
Electrical Specifications
3.5
Timing Parameters and Board Routing Analysis
The timing parameter values specified in this data sheet do not include delays by board routings. As a good board design practice, such delays must always be taken into account. Timing values may be adjusted by increasing/decreasing such delays. TI recommends utilizing the available I/O buffer information specification (IBIS) models to analyze the timing characteristics correctly. If needed, external logic hardware such as buffers may be used to compensate any timing differences. For inputs, timing is most impacted by the round-trip propagation delay from the DSP to the external device and from the external device to the DSP. This round-trip delay tends to negatively impact the input setup time margin, but also tends to improve the input hold time margins (see Table 3-1 and Figure 3-4). Figure 3-4 represents a general transfer between the DSP and an external device. The figure also represents board route delays and how they are perceived by the DSP and the external device.
Table 3-1. Board-Level Timing Example (see Figure 3-4)
PRODUCT PREVIEW
NO. 1 2 3 4 5 6 7 8 9 10 11 ECLKOUTx (Output from DSP)
DESCRIPTION Clock route delay Minimum DSP hold time Minimum DSP setup time External device hold time requirement External device setup time requirement Control signal route delay External device hold time External device access time DSP hold time requirement DSP setup time requirement Data route delay
1 ECLKOUTx (Input to External Device) Control Signals (Output from DSP) 3 4 5 Control Signals (Input to External Device) Data Signals (Output from External Device) Data Signals (Input to DSP) 6 7 8 2
10 11
9
Control signals include data for Writes. Data signals are generated during Reads from an external device.
Figure 3-4. Board-Level Input/Output Timings
110
SPRS222
June 2003
Input and Output Clocks
4
Input and Output Clocks
Table 4-1. Timing Requirements for CLKIN for -400 Devices (see Figure 4-1)
-400
NO. 1 2 3 4 tc(CLKIN) tw(CLKINH) tw(CLKINL) tt(CLKIN) Cycle time, CLKIN Pulse duration, CLKIN high Pulse duration, CLKIN low Transition time, CLKIN
PLL MODE x12 MIN 30 0.4C 0.4C 5 MAX 33.3
PLL MODE x6 MIN 13.3 0.4C 0.4C 5 MAX 33.3
x1 (BYPASS) MIN 13.3 0.45C 0.45C 1 MAX 33.3
UNIT ns ns ns ns
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN. For more details on the PLL multiplier factors (x6, x12), see the Clock PLL section of this data sheet. C = CLKIN cycle time in ns. For example, when CLKIN frequency is 50 MHz, use C = 20 ns.
Table 4-2. Timing Requirements for CLKIN for -500 Devices (see Figure 4-1)
-500 MIN 1 2 3 4 tc(CLKIN) tw(CLKINH) tw(CLKINL) tt(CLKIN) Cycle time, CLKIN Pulse duration, CLKIN high Pulse duration, CLKIN low Transition time, CLKIN 24 0.4C 0.4C 5 MAX 33.3 MIN 13.3 0.4C 0.4C 5 MAX 33.3 MIN 13.3 0.45C 0.45C 1 MAX 33.3 ns ns ns ns
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN. For more details on the PLL multiplier factors (x6, x12), see the Clock PLL section of this data sheet. C = CLKIN cycle time in ns. For example, when CLKIN frequency is 50 MHz, use C = 20 ns.
Table 4-3. Timing Requirements for CLKIN for -600 Devices (see Figure 4-1)
-600 NO. 1 2 3 4 tc(CLKIN) tw(CLKINH) tw(CLKINL) tt(CLKIN) Cycle time, CLKIN Pulse duration, CLKIN high Pulse duration, CLKIN low Transition time, CLKIN PLL MODE x12 MIN 20 0.4C 0.4C 5 MAX 33.3 PLL MODE x6 MIN 13.3 0.4C 0.4C 5 MAX 33.3 x1 (BYPASS) MIN 13.3 0.45C 0.45C 1 MAX 33.3 ns ns ns ns UNIT
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN. For more details on the PLL multiplier factors (x6, x12), see the Clock PLL section of this data sheet. C = CLKIN cycle time in ns. For example, when CLKIN frequency is 50 MHz, use C = 20 ns.
1 2 CLKIN 3
4
4
Figure 4-1. CLKIN Timing
June 2003
SPRS222
111
PRODUCT PREVIEW
NO.
PLL MODE x12
PLL MODE x6
x1 (BYPASS)
UNIT
Input and Output Clocks
Table 4-4. Switching Characteristics Over Recommended Operating Conditions for CLKOUT4 (see Figure 4-2)
-400 -500 -600 CLKMODE = x1, x6, x12 MIN 1 2 3 4 tc(CKO4) tw(CKO4H) tw(CKO4L) tt(CKO4) Cycle time, CLKOUT4 Pulse duration, CLKOUT4 high Pulse duration, CLKOUT4 low Transition time, CLKOUT4 4P - 0.7 2P - 0.7 2P - 0.7 MAX 4P + 0.7 2P + 0.7 2P + 0.7 1 ns ns ns ns
NO.
PARAMETER
UNIT
The reference points for the rise and fall transitions are measured at VOL MAX and VOH MIN. P = 1/CPU clock frequency in nanoseconds (ns)
1 2
4
PRODUCT PREVIEW
CLKOUT4 3 4
Figure 4-2. CLKOUT4 Timing
Table 4-5. Switching Characteristics Over Recommended Operating Conditions for CLKOUT6 (see Figure 4-3)
-400 -500 -600 CLKMODE = x1, x6, x12 MIN 1 2 3 4 tc(CKO6) tw(CKO6H) tw(CKO6L) tt(CKO6) Cycle time, CLKOUT6 Pulse duration, CLKOUT6 high Pulse duration, CLKOUT6 low Transition time, CLKOUT6 6P - 0.7 3P - 0.7 3P - 0.7 MAX 6P + 0.7 3P + 0.7 3P + 0.7 1 ns ns ns ns
NO.
PARAMETER
UNIT
The reference points for the rise and fall transitions are measured at VOL MAX and VOH MIN. P = 1/CPU clock frequency in nanoseconds (ns)
1 2 CLKOUT6 3
4
4
Figure 4-3. CLKOUT6 Timing
112
SPRS222
June 2003
Input and Output Clocks
Table 4-6. Timing Requirements for AECLKIN for EMIFA (see Figure 4-4)
-400 -500 -600 tc(EKI) tw(EKIH) tw(EKIL) tt(EKI) Cycle time, AECLKIN Pulse duration, AECLKIN high Pulse duration, AECLKIN low Transition time, AECLKIN MIN 6 3.38 3.38 2 MAX 16P ns ns ns ns
NO.
UNIT
1 2 3 4
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN. Minimum AECLKIN times are based on internal logic speed; the maximum useable speed of the EMIF may be lower due to AC timing requirements. On the 600 devices, 133-MHz operation is achievable if the requirements of the EMIF Device Speed section are met. On the 500 and 400 devices, 100-MHz operation is achievable if the requirements of the EMIF Device Speed section are met.
1 2 AECLKIN 3
4
4
Figure 4-4. ECLKIN Timing for EMIFA
Table 4-7. Switching Characteristics Over Recommended Operating Conditions for AECLKOUT1 for the EMIFA Module#|| (see Figure 4-5)
-400 -500 -600 MIN 1 2 3 4 5 6 tc(EKO1) tw(EKO1H) tw(EKO1L) tt(EKO1) td(EKIH-EKO1H) td(EKIL-EKO1L) Cycle time, AECLKOUT1 Pulse duration, AECLKOUT1 high Pulse duration, AECLKOUT1 low Transition time, AECLKOUT1 Delay time, AECLKIN high to AECLKOUT1 high Delay time, AECLKIN low to AECLKOUT1 low 1 1 E - 0.7 EH - 0.7 EL - 0.7 MAX E + 0.7 EH + 0.7 EL + 0.7 1 8 8 ns ns ns ns ns ns
NO.
PARAMETER
UNIT
The reference points for the rise and fall transitions are measured at VOL MAX and VOH MIN. # E = the EMIF input clock (ECLKIN, CPU/4 clock, or CPU/6 clock) period in ns for EMIFA. || EH is the high period of E (EMIF input clock period) in ns and EL is the low period of E (EMIF input clock period) in ns for EMIFA.
AECLKIN 6 5 AECLKOUT1 2 1 3
4
4
Figure 4-5. AECLKOUT1 Timing for the EMIFA Module
June 2003
SPRS222
113
PRODUCT PREVIEW
Input and Output Clocks
Table 4-8. Switching Characteristics Over Recommended Operating Conditions for AECLKOUT2 for the EMIFA Module (see Figure 4-6)
-400 -500 -600 MIN 1 2 3 4 5 6 tc(EKO2) tw(EKO2H) tw(EKO2L) tt(EKO2) td(EKIH-EKO2H) td(EKIH-EKO2L) Cycle time, AECLKOUT2 Pulse duration, AECLKOUT2 high Pulse duration, AECLKOUT2 low Transition time, AECLKOUT2 Delay time, AECLKIN high to AECLKOUT2 high Delay time, AECLKIN high to AECLKOUT2 low 3 3 NE - 0.7 0.5NE - 0.7 0.5NE - 0.7 MAX NE + 0.7 0.5NE + 0.7 0.5NE + 0.7 1 8 8 ns ns ns ns ns ns
NO.
PARAMETER
UNIT
The reference points for the rise and fall transitions are measured at VOL MAX and VOH MIN. E = the EMIF input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) period in ns for EMIFA. N = the EMIF input clock divider; N = 1, 2, or 4.
5
6
PRODUCT PREVIEW
AECLKIN 1 2 AECLKOUT2 3 4 4
Figure 4-6. AECLKOUT2 Timing for the EMIFA Module
114
SPRS222
June 2003
Asynchronous Memory Timing
5
Asynchronous Memory Timing
Table 5-1. Timing Requirements for Asynchronous Memory Cycles for EMIFA Module (see Figure 5-1 and Figure 5-2)
-400 -500 -600 MIN 3 4 6 tsu(EDV-AREH) th(AREH-EDV) tsu(ARDY-EKO1H) th(EKO1H-ARDY) Setup time, AEDx valid before AARE high Hold time, AEDx valid after AARE high Setup time, AARDY valid before AECLKOUT1 high 6.5 1 3 MAX ns ns ns
NO.
UNIT
7 Hold time, AARDY valid after AECLKOUT1 high 1 ns To ensure data setup time, simply program the strobe width wide enough. ARDY is internally synchronized. The ARDY signal is recognized in the cycle for which the setup and hold time is met. To use ARDY as an asynchronous input, the pulse width of the ARDY signal should be wide enough (e.g., pulse width = 2E) to ensure setup and hold time is met.
Table 5-2. Switching Characteristics Over Recommended Operating Conditions for Asynchronous Memory Cycles for EMIFA Module (see Figure 5-1 and Figure 5-2)
NO.
PARAMETER
UNIT MAX ns ns 7 ns ns ns
MIN 1 2 5 8 9 tosu(SELV-AREL) toh(AREH-SELIV) td(EKO1H-AREV) tosu(SELV-AWEL) toh(AWEH-SELIV) td(EKO1H-AWEV) Output setup time, select signals valid to AARE low Output hold time, AARE high to select signals invalid Delay time, AECLKOUT1 high to AARE valid Output setup time, select signals valid to AAWE low Output hold time, AAWE high to select signals invalid RS * E - 1.5 RH * E - 1.9 1 WS * E - 1.7 WH * E - 1.8
10 Delay time, AECLKOUT1 high to AAWE valid 1.3 7.1 ns RS = Read setup, RST = Read strobe, RH = Read hold, WS = Write setup, WST = Write strobe, WH = Write hold. These parameters are programmed via the EMIF CE space control registers. E = AECLKOUT1 period in ns for EMIFA Select signals for EMIFA include: ACEx, ABE[3:0], AEA[22:3], AAOE; and for EMIFA writes, include AED[31:0].
June 2003
SPRS222
115
PRODUCT PREVIEW
-400 -500 -600
Asynchronous Memory Timing
Setup = 2 AECLKOUT1 1 ACEx 1 ABE[3:0] 1 AEA[22:3] Address 3 4 AED[31:0] 1 AAOE/ASDRAS/ASOE 5 5 Read Data 2 BE 2 2 2 Strobe = 3 Not Ready Hold = 2
PRODUCT PREVIEW
AARE/ASDCAS/ASADS/ASRE AAWE/ASDWE/ASWE 6 AARDY AAOE/ASDRAS/ASOE, AARE/ASDCAS/ASADS/ASRE, and AAWE/ASDWE/ASWE operate as AAOE (identified under select signals), AARE, and AAWE, respectively, during asynchronous memory accesses. 7 6
7
Figure 5-1. Asynchronous Memory Read Timing for EMIFA
116
SPRS222
June 2003
Asynchronous Memory Timing
Setup = 2 AECLKOUT1 8 ACEx 8 ABE[3:0] 8 AEA[22:3] 8 AED[31:0] AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ASRE 10 AAWE/ASDWE/ASWE 7 6 AARDY AAOE/ASDRAS/ASOE, AARE/ASDCAS/ASADS/ASRE, and AAWE/ASDWE/ASWE operate as AAOE (identified under select signals), AARE, and AAWE, respectively, during asynchronous memory accesses. 6 7 10 Write Data Address 9 BE 9 9 9 Hold = 2
Strobe = 3
Not Ready
Figure 5-2. Asynchronous Memory Write Timing for EMIFA
June 2003
SPRS222
117
PRODUCT PREVIEW
Programmable Synchronous Interface Timing
6
Programmable Synchronous Interface Timing
Table 6-1. Timing Requirements for Programmable Synchronous Interface Cycles for EMIFA Module (see Figure 6-1)
-400 -500 MIN 3.1 1.5 MAX -600 MIN 2 1.5 MAX UNIT ns ns
NO. 6 7 tsu(EDV-EKOxH) th(EKOxH-EDV) Setup time, read AEDx valid before AECLKOUTx high Hold time, read AEDx valid after AECLKOUTx high
MIN 3.1 1.5
MAX
The following parameters are programmable via the EMIF CE Space Secondary Control register (CExSEC): - Read latency (SYNCRL): 0-, 1-, 2-, or 3-cycle read latency - Write latency (SYNCWL): 0-, 1-, 2-, or 3-cycle write latency - ACEx assertion length (CEEXT): For standard SBSRAM or ZBT SRAM interface, ACEx goes inactive after the final command has been issued (CEEXT = 0). For synchronous FIFO interface with glue, ACEx is active when ASOE is active (CEEXT = 1). - Function of ASADS/ASRE (RENEN): For standard SBSRAM or ZBT SRAM interface, ASADS/ASRE acts as ASADS with deselect cycles (RENEN = 0). For FIFO interface, ASADS/ASRE acts as ASRE with NO deselect cycles (RENEN = 1). - Synchronization clock (SNCCLK): Synchronized to AECLKOUT1 or AECLKOUT2
PRODUCT PREVIEW
Table 6-2. Switching Characteristics Over Recommended Operating Conditions for Programmable Synchronous Interface Cycles for EMIFA Module (see Figure 6-1-Figure 6-3)
-400 NO. 1 2 3 4 5 8 9 10 11 12 td(EKOxH-CEV) td(EKOxH-BEV) td(EKOxH-BEIV) td(EKOxH-EAV) td(EKOxH-EAIV) td(EKOxH-ADSV) td(EKOxH-OEV) td(EKOxH-EDV) td(EKOxH-EDIV) td(EKOxH-WEV) PARAMETER Delay time, AECLKOUTx high to ACEx valid Delay time, AECLKOUTx high to ABEx valid Delay time, AECLKOUTx high to ABEx invalid Delay time, AECLKOUTx high to AEAx valid Delay time, AECLKOUTx high to AEAx invalid Delay time, AECLKOUTx high to ASADS/ASRE valid Delay time, AECLKOUTx high to, ASOE valid Delay time, AECLKOUTx high to AEDx valid Delay time, AECLKOUTx high to AEDx invalid Delay time, AECLKOUTx high to ASWE valid 1.3 1.3 6.4 1.3 1.3 1.3 6.4 6.4 6.4 1.3 1.3 6.4 1.3 6.4 1.3 1.3 1.3 6.4 6.4 6.4 1.3 1.3 4.9 MIN 1.3 MAX 6.4 6.4 1.3 6.4 1.3 1.3 1.3 4.9 4.9 4.9 -500 MIN 1.3 MAX 6.4 6.4 1.3 4.9 -600 MIN 1.3 MAX 4.9 4.9 UNIT ns ns ns ns ns ns ns ns ns
ns The following parameters are programmable via the EMIF CE Space Secondary Control register (CExSEC): - Read latency (SYNCRL): 0-, 1-, 2-, or 3-cycle read latency - Write latency (SYNCWL): 0-, 1-, 2-, or 3-cycle write latency - ACEx assertion length (CEEXT): For standard SBSRAM or ZBT SRAM interface, ACEx goes inactive after the final command has been issued (CEEXT = 0). For synchronous FIFO interface with glue, ACEx is active when ASOE is active (CEEXT = 1). - Function of ASADS/ASRE (RENEN): For standard SBSRAM or ZBT SRAM interface, ASADS/ASRE acts as ASADS with deselect cycles (RENEN = 0). For FIFO interface, ASADS/ASRE acts as ASRE with NO deselect cycles (RENEN = 1). - Synchronization clock (SNCCLK): Synchronized to AECLKOUT1 or AECLKOUT2
118
SPRS222
June 2003
Programmable Synchronous Interface Timing
READ latency = 2 AECLKOUTx 1 ACEx ABE[3:0] AEA[22:3] 2 BE1 4 EA1 EA2 6 AED[31:0] 8 AARE/ASDCAS/ASADS/ ASRE 9 AAOE/ASDRAS/ASOE AAWE/ASDWE/ASWE 9 Q1 EA3 EA4 7 Q2 Q3 Q4 8 3 BE2 BE3 BE4 5 1
Figure 6-1. Programmable Synchronous Interface Read Timing for EMIFA (With Read Latency = 2)
June 2003
SPRS222
119
PRODUCT PREVIEW
The read latency and the length of ACEx assertion are programmable via the SYNCRL and CEEXT fields, respectively, in the EMIFA CE Space Secondary Control register (CExSEC). In this figure, SYNCRL = 2 and CEEXT = 0. The following parameters are programmable via the EMIF CE Space Secondary Control register (CExSEC): - Read latency (SYNCRL): 0-, 1-, 2-, or 3-cycle read latency - Write latency (SYNCWL): 0-, 1-, 2-, or 3-cycle write latency - ACEx assertion length (CEEXT): For standard SBSRAM or ZBT SRAM interface, ACEx goes inactive after the final command has been issued (CEEXT = 0). For synchronous FIFO interface with glue, ACEx is active when ASOE is active (CEEXT = 1). - Function of ASADS/ASRE (RENEN): For standard SBSRAM or ZBT SRAM interface, ASADS/ASRE acts as ASADS with deselect cycles (RENEN = 0). For FIFO interface, ASADS/ASRE acts as ASRE with NO deselect cycles (RENEN = 1). - Synchronization clock (SNCCLK): Synchronized to AECLKOUT1 or AECLKOUT2 AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, and AAWE/ASDWE/ASWE operate as ASADS/ASRE, ASOE, and ASWE, respectively, during programmable synchronous interface accesses.
Programmable Synchronous Interface Timing
AECLKOUTx 1 ACEx 2 BE1 4 EA1 10 AED[31:0] AARE/ASDCAS/ASADS/ASRE AAOE/ASDRAS/ASOE 12 AAWE/ASDWE/ASWE The write latency and the length of ACEx assertion are programmable via the SYNCWL and CEEXT fields, respectively, in the EMIFA CE Space Secondary Control register (CExSEC). In this figure, SYNCWL = 0 and CEEXT = 0. The following parameters are programmable via the EMIF CE Space Secondary Control register (CExSEC): - Read latency (SYNCRL): 0-, 1-, 2-, or 3-cycle read latency - Write latency (SYNCWL): 0-, 1-, 2-, or 3-cycle write latency - ACEx assertion length (CEEXT): For standard SBSRAM or ZBT SRAM interface, ACEx goes inactive after the final command has been issued (CEEXT = 0). For synchronous FIFO interface with glue, ACEx is active when ASOE is active (CEEXT = 1). - Function of ASADS/ASRE (RENEN): For standard SBSRAM or ZBT SRAM interface, ASADS/ASRE acts as ASADS with deselect cycles (RENEN = 0). For FIFO interface, ASADS/ASRE acts as ASRE with NO deselect cycles (RENEN = 1). - Synchronization clock (SNCCLK): Synchronized to AECLKOUT1 or AECLKOUT2 AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, and AAWE/ASDWE/ASWE operate as ASADS/ASRE, ASOE, and ASWE, respectively, during programmable synchronous interface accesses. 12 10 Q1 8 3 BE2 BE3 BE4 5 EA2 EA3 EA4 11 Q2 Q3 Q4 8 1
ABE[3:0]
AEA[22:3]
PRODUCT PREVIEW
Figure 6-2. Programmable Synchronous Interface Write Timing for EMIFA (With Write Latency = 0)
120
SPRS222
June 2003
Programmable Synchronous Interface Timing
Write Latency = 1 AECLKOUTx 1 ACEx ABE[3:0] AEA[22:3] AED[31:0] 8 AARE/ASDCAS/ASADS/ ASRE AAOE/ASDRAS/ASOE 12 AAWE/ASDWE/ASWE 12 2 BE1 4 EA1 10 EA2 10 Q1 EA3 Q2 EA4 11 Q3 Q4 8 3 BE2 BE3 BE4 5 1
Figure 6-3. Programmable Synchronous Interface Write Timing for EMIFA (With Write Latency = 1)
June 2003
SPRS222
121
PRODUCT PREVIEW
The write latency and the length of ACEx assertion are programmable via the SYNCWL and CEEXT fields, respectively, in the EMIFA CE Space Secondary Control register (CExSEC). In this figure, SYNCWL = 1 and CEEXT = 0. The following parameters are programmable via the EMIF CE Space Secondary Control register (CExSEC): - Read latency (SYNCRL): 0-, 1-, 2-, or 3-cycle read latency - Write latency (SYNCWL): 0-, 1-, 2-, or 3-cycle write latency - ACEx assertion length (CEEXT): For standard SBSRAM or ZBT SRAM interface, ACEx goes inactive after the final command has been issued (CEEXT = 0). For synchronous FIFO interface with glue, ACEx is active when ASOE is active (CEEXT = 1). - Function of ASADS/ASRE (RENEN): For standard SBSRAM or ZBT SRAM interface, ASADS/ASRE acts as ASADS with deselect cycles (RENEN = 0). For FIFO interface, ASADS/ASRE acts as ASRE with NO deselect cycles (RENEN = 1). - Synchronization clock (SNCCLK): Synchronized to AECLKOUT1 or AECLKOUT2 AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, and AAWE/ASDWE/ASWE operate as ASADS/ASRE, ASOE, and ASWE, respectively, during programmable synchronous interface accesses.
Synchronous DRAM Timing
7
Synchronous DRAM Timing
Table 7-1. Timing Requirements for Synchronous DRAM Cycles for EMIFA Module (see Figure 7-1)
-400 -500 MIN 2.1 2.5 MAX -600 MIN 0.6 1.8 MAX UNIT ns ns
NO. 6 7 tsu(EDV-EKO1H) th(EKO1H-EDV) Setup time, read AEDx valid before AECLKOUT1 high Hold time, read AEDx valid after AECLKOUT1 high
MIN 2.1 2.5
MAX
Table 7-2. Switching Characteristics Over Recommended Operating Conditions for Synchronous DRAM Cycles for EMIFA Module (see Figure 7-1-Figure 7-8)
-400 NO. 1 2 3 4 5 8 9 10 11 12 13 14 td(EKO1H-CEV) td(EKO1H-BEV) td(EKO1H-BEIV) td(EKO1H-EAV) td(EKO1H-EAIV) td(EKO1H-CASV) td(EKO1H-EDV) td(EKO1H-EDIV) td(EKO1H-WEV) td(EKO1H-RAS) td(EKO1H-ACKEV) td(EKO1H-PDTV) PARAMETER Delay time, AECLKOUT1 high to ACEx valid Delay time, AECLKOUT1 high to ABEx valid Delay time, AECLKOUT1 high to ABEx invalid Delay time, AECLKOUT1 high to AEAx valid Delay time, AECLKOUT1 high to AEAx invalid Delay time, AECLKOUT1 high to ASDCAS valid Delay time, AECLKOUT1 high to AEDx valid Delay time, AECLKOUT1 high to AEDx invalid Delay time, AECLKOUT1 high to ASDWE valid Delay time, AECLKOUT1 high to ASDRAS valid Delay time, AECLKOUT1 high to ASDCKE valid Delay time, AECLKOUT1 high to APDT valid 1.3 1.3 1.3 1.3 1.3 6.4 6.4 6.4 6.4 1.3 1.3 6.4 6.4 1.3 1.3 1.3 1.3 1.3 6.4 6.4 6.4 6.4 1.3 6.4 1.3 1.3 6.4 6.4 1.3 1.3 1.3 1.3 1.3 4.9 4.9 4.9 4.9 MIN 1.3 MAX 6.4 6.4 1.3 6.4 1.3 1.3 4.9 4.9 -500 MIN 1.3 MAX 6.4 6.4 1.3 4.9 -600 MIN 1.3 MAX 4.9 4.9 UNIT ns ns ns ns ns ns ns ns ns ns ns ns
PRODUCT PREVIEW
122
SPRS222
June 2003
Synchronous DRAM Timing
READ AECLKOUT1 1 ACEx ABE[3:0] 4 Bank 4 Column 4 AEA13 6 AED[31:0] AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE AAWE/ASDWE/ASWE 14 APDT AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses. APDT signal is only asserted when the EDMA is in PDT mode (set the PDTS bit to 1 in the EDMA options parameter RAM). For APDT read, data is not latched into EMIF. The PDTRL field in the PDT control register (PDTCTL) configures the latency of the APDT signal with respect to the data phase of a read transaction. The latency of the APDT signal for a read can be programmed to 0, 1, 2, or 3 by setting PDTRL to 00, 01, 10, or 11, respectively. PDTRL equals 00 (zero latency) in Figure 7-1. 14 D1 7 D2 D3 D4 2 BE1 5 3 BE2 BE3 BE4 1
AEA[22:14] AEA[12:3]
5
5
Figure 7-1. SDRAM Read Command (CAS Latency 3) for EMIFA
June 2003
SPRS222
123
PRODUCT PREVIEW
8
8
Synchronous DRAM Timing
WRITE AECLKOUT1 1 ACEx 2 ABE[3:0] 4 AEA[22:14] 4 AEA[12:3] 4 AEA13 9 AED[31:0] AAOE/ASDRAS/ASOE D1 9 D2 D3 D4 10 Column 5 Bank 5 BE1 5 4 BE2 BE3 BE4 3 2
PRODUCT PREVIEW
8 AARE/ASDCAS/ASADS/ ASRE 11 AAWE/ASDWE/ASWE 14 APDT
8
11
14
AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses. APDT signal is only asserted when the EDMA is in PDT mode (set the PDTD bit to 1 in the EDMA options parameter RAM). For APDT write, data is not driven (in High-Z). The PDTWL field in the PDT control register (PDTCTL) configures the latency of the APDT signal with respect to the data phase of a write transaction. The latency of the APDT signal for a write transaction can be programmed to 0, 1, 2, or 3 by setting PDTWL to 00, 01, 10, or 11, respectively. PDTWL equals 00 (zero latency) in Figure 7-2.
Figure 7-2. SDRAM Write Command for EMIFA
124
SPRS222
June 2003
Synchronous DRAM Timing
ACTV AECLKOUT1 1 ACEx ABE[3:0] 4 Bank Activate 4 Row Address 4 Row Address 5 1
AEA[22:14] AEA[12:3]
5
5
AEA13 AED[31:0]
12 AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE AAWE/ASDWE/ASWE
12
AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses.
Figure 7-3. SDRAM ACTV Command for EMIFA
DCAB AECLKOUT1 1 ACEx ABE[3:0] AEA[22:14, 12:3] 4 AEA13 AED[31:0] 12 AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE AAWE/ASDWE/ASWE AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses. 12 5 1
11
11
Figure 7-4. SDRAM DCAB Command for EMIFA
June 2003
SPRS222
125
PRODUCT PREVIEW
Synchronous DRAM Timing
DEAC AECLKOUT1 1 ACEx ABE[3:0] 4 AEA[22:14] AEA[12:3] 4 AEA13 AED[31:0] 12 AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE 12 5 Bank 5 1
PRODUCT PREVIEW
11
11
AAWE/ASDWE/ASWE AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses.
Figure 7-5. SDRAM DEAC Command for EMIFA
REFR AECLKOUT1 1 ACEx ABE[3:0] AEA[22:14, 12:3] 1
AEA13 AED[31:0] 12 AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE 8 8 12
AAWE/ASDWE/ASWE AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses.
Figure 7-6. SDRAM REFR Command for EMIFA
126
SPRS222
June 2003
Synchronous DRAM Timing
MRS AECLKOUT1 1 ACEx ABE[3:0] 4 MRS value 5 1
AEA[22:3] AED[31:0]
12 AAOE/ASDRAS/ ASOE AARE/ASDCAS/ASADS/ ASRE AAWE/ASDWE/ASWE
12
8
8
11
11
Figure 7-7. SDRAM MRS Command for EMIFA
TRAS cycles Self Refresh AECLKOUT1 ACEx ABE[3:0] AEA[22:14, 12:3] AEA13 AED[31:0] AAOE/ASDRAS/ASOE AARE/ASDCAS/ASADS/ ASRE AAWE/ASDWE/ASWE 13 ASDCKE AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses. 13 End Self-Refresh
Figure 7-8. SDRAM Self-Refresh Timing for EMIFA
June 2003
SPRS222
127
PRODUCT PREVIEW
AARE/ASDCAS/ASADS/ASRE, AAWE/ASDWE/ASWE, and AAOE/ASDRAS/ASOE operate as ASDCAS, ASDWE, and ASDRAS, respectively, during SDRAM accesses.
AHOLD/AHOLDA Timing
8
AHOLD/AHOLDA Timing
Table 8-1. Timing Requirements for the AHOLD/AHOLDA Cycles for EMIFA Module (see Figure 8-1)
-400 -500 MIN E MAX -600 MIN E MAX UNIT ns
NO. 3 toh(HOLDAL-HOLDL) Hold time, AHOLD low after AHOLDA low
MIN E
MAX
E = the EMIF input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) period in ns for EMIFA.
Table 8-2. Switching Characteristics Over Recommended Operating Conditions for the AHOLD/AHOLDA Cycles for EMIFA Module (see Figure 8-1)
-400 NO. 1 2 td(HOLDL-EMHZ) td(EMHZ-HOLDAL) td(HOLDH-EMLZ) td(EMLZ-HOLDAH) td(HOLDL-EKOHZ) td(HOLDH-EKOLZ) PARAMETER Delay time, AHOLD low to EMIFA Bus high impedance Delay time, EMIF Bus high impedance to AHOLDA low Delay time, AHOLD high to EMIF Bus low impedance Delay time, EMIFA Bus low impedance to AHOLDA high Delay time, AHOLD low to AECLKOUTx high impedance Delay time, AHOLD high to AECLKOUTx low impedance MIN 2E 0 2E 0 2E 2E MAX 2E 7E 2E 7E -500 MIN 2E 0 2E 0 2E 2E MAX 2E 7E 2E 7E -600 MIN 2E 0 2E 0 2E 2E MAX 2E 7E 2E 7E UNIT ns ns ns ns ns ns
PRODUCT PREVIEW
4 5 6 7
E = the EMIF input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) period in ns for EMIFA. EMIFA Bus consists of: ACE[3:0], ABE[3:0], AED[31:0], AEA[22:3], AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, and AAWE/ASDWE/ASWE , ASDCKE, ASOE3, and APDT. The EKxHZ bits in the EMIF Global Control register (GBLCTL) determine the state of the AECLKOUTx signals during AHOLDA. If EKxHZ = 0, AECLKOUTx continues clocking during Hold mode. If EKxHZ = 1, AECLKOUTx goes to high impedance during Hold mode, as shown in Figure 8-1. All pending EMIF transactions are allowed to complete before HOLDA is asserted. If no bus transactions are occurring, then the minimum delay time can be achieved. Also, bus hold can be indefinitely delayed by setting NOHOLD = 1. External Requestor Owns Bus 3 AHOLD 2 AHOLDA EMIFA Bus 1 C64x 4 C64x 5
DSP Owns Bus
DSP Owns Bus
AECLKOUTx 6 AECLKOUTx EMIFA Bus consists of: ACE[3:0], ABE[3:0], AED[31:0], AEA[22:3], AARE/ASDCAS/ASADS/ASRE, AAOE/ASDRAS/ASOE, and AAWE/ASDWE/ASWE, ASDCKE, ASOE3, and APDT. 7
Figure 8-1. AHOLD/AHOLDA Timing for EMIFA
128
SPRS222
June 2003
ABUSREQ Timing
9
ABUSREQ Timing
Table 9-1. Switching Characteristics Over Recommended Operating Conditions for the ABUSREQ Cycles for EMIFA Module (see Figure 9-1)
-400 NO. 1 td(AEKO1H-ABUSRV) PARAMETER Delay time, AECLKOUT1 high to ABUSREQ valid MIN 0.6 MAX 7.1 -500 MIN 0.6 MAX 7.1 -600 MIN 1 MAX 5.5 UNIT ns
AECLKOUT1
1 ABUSREQ
1
Figure 9-1. ABUSREQ Timing for EMIFA
June 2003
SPRS222
129
PRODUCT PREVIEW
Reset Timing
10
Reset Timing
Table 10-1. Timing Requirements for Reset (see Figure 10-1)
-400 -500 -600 MIN MAX s ns ns tw(RST) tsu(boot) Width of the RESET pulse Setup time, boot configuration bits valid before RESET high Hold time, boot configuration bits valid after RESET high 250 4E or 4C 4E or 4C
NO.
UNIT
1 16 17
th(boot) AEA[22:19], LEND, BOOTMODE[1:0], ECLKIN_SEL[1:0], and HD5 are the boot configuration pins during device reset. E = 1/AECLKIN clock frequency in ns. C = 1/CLKIN clock frequency in ns. Select the MIN parameter value, whichever value is larger.
Table 10-2. Switching Characteristics Over Recommended Operating Conditions During Reset# (see Figure 10-1)
-400 -500 -600 MIN 2 3 4 5 6 7 8 9 10 11 12 13 14 15 td(RSTL-ECKI) td(RSTH-ECKI) td(RSTL-ECKO1HZ) td(RSTH-ECKO1V) td(RSTL-EMIFZHZ) td(RSTH-EMIFZV) td(RSTL-EMIFHIV) td(RSTH-EMIFHV) td(RSTL-EMIFLIV) td(RSTH-EMIFLV) td(RSTL-LOWIV) td(RSTH-LOWV) td(RSTL-ZHZ) td(RSTH-ZV) Delay time, RESET low to AECLKIN synchronized internally Delay time, RESET high to AECLKIN synchronized internally Delay time, RESET low to AECLKOUT1 high impedance Delay time, RESET high to AECLKOUT1 valid Delay time, RESET low to EMIF Z high impedance Delay time, RESET high to EMIF Z valid Delay time, RESET low to EMIF high group invalid Delay time, RESET high to EMIF high group valid Delay time, RESET low to EMIF low group invalid Delay time, RESET high to EMIF low group valid Delay time, RESET low to low group invalid Delay time, RESET high to low group valid Delay time, RESET low to Z group high impedance Delay time, RESET high to Z group valid 0 2P 8P 0 11P 2E 8P + 20E 2E 16E 2E 8P + 20E 2E 2E 2E 8P + 20E 3P + 4E 8P + 20E MAX 3P + 20E 8P + 20E ns ns ns ns ns ns ns ns ns ns ns ns ns ns
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. E = the EMIF input clock (AECLKIN, CPU/4 clock, or CPU/6 clock) period in ns for EMIFA. # EMIF Z group consists of: AEA[22:3], AED[31:0], ACE[3:0], ABE[3:0], AARE/ASDCAS/ASADS/ASRE,AAWE/ASDWE/ASWE, AAOE/ASDRAS/ASOE, ASOE3, ASDCKE, and APDT. EMIF high group consists of: AHOLDA (when the corresponding AHOLD input is high) EMIF low group consists of: ABUSREQ; AHOLDA (when the corresponding AHOLD input is low) Low group consists of: Z group consists of: HD[15:0], VP0D[0]/CLKX0, VP0D[1]/FSX0, VP0D[2]/DX0, CLKR0, VP0D[5]/FSR0, TOUT0, TOUT1, VDAC, GP0[7:0], HR/W, HDS2, HDS1, HCS, HCNTL1, HAS, HCNTL0, HHWIL (16-bit HPI mode only), HRDY, HINT, and VP0D[4,3]. VP1 signals apply to DM641 only: VP1D[0]/CLKX1, VP1D[1]/FSX1, VP1D[2]/DX1, VP1D[6]/CLKR1, VP1D[5]/FSR1, and VP1D[4,3].
130
SPRS222
June 2003
Reset Timing
CLKOUT4 CLKOUT6 1 RESET 2 AECLKIN 4 AECLKOUT1 AECLKOUT2 6 EMIF Z Group 8 EMIF High Group 10 EMIF Low Group 12 Low Group 14 Z Group 17 Boot and Device Configuration Inputs EMIF Z group consists of: 16 13 11 9 7 5 3
15
AEA[22:3], AED[31:0], ACE[3:0], ABE[3:0], AARE/ASDCAS/ASADS/ASRE,AAWE/ASDWE/ASWE, AAOE/ASDRAS/ASOE, ASOE3, ASDCKE, and APDT. EMIF high group consists of: AHOLDA (when the corresponding AHOLD input is high) EMIF low group consists of: ABUSREQ; AHOLDA (when the corresponding AHOLD input is low) Low group consists of: Z group consists of: HD[15:0], VP0D[0]/CLKX0, VP0D[1]/FSX0, VP0D[2]/DX0, CLKR0, VP0D[5]/FSR0, TOUT0, TOUT1, VDAC, GP0[7:0], HR/W, HDS2, HDS1, HCS, HCNTL1, HAS, HCNTL0, HHWIL (16-bit HPI mode only), HRDY, HINT, and VP0D[4,3]. VP1 signals apply to DM641 only: VP1D[0]/CLKX1, VP1D[1]/FSX1, VP1D[2]/DX1, VP1D[6]/CLKR1, VP1D[5]/FSR1, and VP1D[4,3]. If AEA[22:19], LEND, BOOTMODE[1:0], ECLKIN_SEL[1:0], and HD5 pins are actively driven, care must be taken to ensure no timing contention between parameters 6, 7, 14, 15, 16, and 17. Boot and Device Configurations Inputs (during reset) include: AEA[22:19],LEND, BOOTMODE[1:0], ECLKIN_SEL[1:0], and HD5.
Figure 10-1. Reset Timing
June 2003
SPRS222
131
PRODUCT PREVIEW
External Interrupt Timing
11
External Interrupt Timing
Table 11-1. Timing Requirements for External Interrupts (see Figure 11-1)
-400 -500 -600 MIN MAX ns ns tw(ILOW) tw(IHIGH) Width of the interrupt pulse low Width of the interrupt pulse high 4P 4P
NO.
UNIT
1 2
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. 2
1 EXT_INTx, NMI
Figure 11-1. External/NMI Interrupt Timing
PRODUCT PREVIEW
132 SPRS222
June 2003
Multichannel Audio Serial Port (McASP) Timing
12
Multichannel Audio Serial Port (McASP) Timing
Table 12-1. Timing Requirements for McASP (see Figure 12-1 and Figure 12-2)
-400 -500 -600 MIN MAX ns ns ns ns ns ns ns ns ns ns ns ns tc(AHCKRX) tw(AHCKRX) tc(CKRX) tw(CKRX) tsu(FRXC-KRX) th(CKRX-FRX) tsu(AXR-CKRX) th(CKRX-AXR) Cycle time, AHCLKR/X Pulse duration, AHCLKR/X high or low Cycle time, ACLKR/X Pulse duration, ACLKR/X high or low Setup time, AFSR/X input valid before ACLKR/X latches data Hold time, AFSR/X input valid after ACLKR/X latches data Setup time, AXR input valid before ACLKR/X latches data Hold time, AXR input valid after ACLKR/X latches data ACLKR/X ext ACLKR/X ext ACLKR/X int ACLKR/X ext ACLKR/X int ACLKR/X ext ACLKR/X int ACLKR/X ext ACLKR/X int ACLKR/X ext 20 10 33 16.5 5 5 5 5 5 5 5 5
NO.
UNIT
1 2 3 4 5 6 7 8
Table 12-2. Switching Characteristics Over Recommended Operating Conditions for McASP (see Figure 12-1 and Figure 12-2)
-400 -500 -600 MIN 9 10 11 12 13 14 15 tc(AHCKRX) tw(AHCKRX) tc(CKRX) tw(CKRX) td(CKRX-FRX) td(CKRX-AXRV) tdis(CKRX-AXRHZ) Cycle time, AHCLKR/X Pulse duration, AHCLKR/X high or low Cycle time, ACLKR/X Pulse duration, ACLKR/X high or low Delay time, ACLKR/X transmit edge to AFSX/R output valid Delay time, ACLKR/X transmit edge to AXR output valid Disable time, AXR high impedance following last data bit from ACLKR/X transmit edge ACLKR/X int ACLKR/X int ACLKR/X int ACLKR/X ext ACLKR/X int ACLKR/X ext ACLKR/X int ACLKR/X ext 20 10 33 16.5 0 0 0 0 0 0 10 10 10 10 10 10 MAX ns ns ns ns ns ns ns ns ns ns
NO.
PARAMETER
UNIT
June 2003
SPRS222
133
PRODUCT PREVIEW
Multichannel Audio Serial Port (McASP) Timing
2 1 AHCLKR/X (Falling Edge Polarity) AHCLKR/X (Rising Edge Polarity) 4 3 ACLKR/X (Falling Edge Polarity) ACLKR/X (Rising Edge Polarity) 6 5 AFSR/X (Bit Width, 0 Bit Delay) AFSR/X (Bit Width, 1 Bit Delay) AFSR/X (Bit Width, 2 Bit Delay) AFSR/X (Slot Width, 0 Bit Delay) AFSR/X (Slot Width, 1 Bit Delay) AFSR/X (Slot Width, 2 Bit Delay) 8 7 AXR[n] (Data In/Receive) A0 A1 A30 A31 B0 B1 B30 B31 C0 C1 C2 C3 C31 4 2
PRODUCT PREVIEW
Figure 12-1. McASP Input Timings
134
SPRS222
June 2003
Multichannel Audio Serial Port (McASP) Timing
10 9 AHCLKR/X (Falling Edge Polarity) AHCLKR/X (Rising Edge Polarity) 12 12 10
11 ACLKR/X (Falling Edge Polarity) ACLKR/X (Rising Edge Polarity)
13 13 AFSR/X (Bit Width, 0 Bit Delay)
13 13
AFSR/X (Bit Width, 1 Bit Delay)
AFSR/X (Bit Width, 2 Bit Delay) 13 AFSR/X (Slot Width, 0 Bit Delay) 13 13
AFSR/X (Slot Width, 1 Bit Delay) 14 AFSR/X (Slot Width, 2 Bit Delay) 14 14 14 14 14 15
AXR[n] (Data Out/Transmit) A0 A1 A30 A31 B0 B1 B30 B31 C0 C1 C2 C3 C31
Figure 12-2. McASP Output Timings
June 2003
SPRS222
135
PRODUCT PREVIEW
Inter-Integrated Circuits (I2C) Timing
13
Inter-Integrated Circuits (I2C) Timing
Table 13-1. Timing Requirements for I2C Timings (see Figure 13-1)
-400 -500 -600
NO.
STANDARD MODE MIN MAX
FAST MODE MIN 2.5 0.6 0.6 1.3 0.6 100 0 MAX
UNIT
1 2 3 4 5 6 7
tc(SCL)
Cycle time, SCL
10 4.7 4 4.7 4 250 0 4.7 1000 1000 300 300 4 400
s s s s s ns 0.9 300 300 300 300 50 400 s s ns ns ns ns s ns pF
Setup time, SCL high before SDA low (for a repeated START tsu(SCLH-SDAL) condition) Hold time, SCL low after SDA low (for a START and a repeated th(SCLL-SDAL) START condition) tw(SCLL) tw(SCLH) Pulse duration, SCL low Pulse duration, SCL high
tsu(SDAV-SDLH) Setup time, SDA valid before SCL high th(SDA-SDLL) Hold time, SDA valid after SCL low (For I2C bus devices) tw(SDAH) tr(SDA) tr(SCL) tf(SDA) Pulse duration, SDA high between STOP and START conditions Rise time, SDA Rise time, SCL Fall time, SDA
PRODUCT PREVIEW
8 9 10 11 12 13 14 15
1.3 20 + 0.1Cb# 20 + 0.1Cb# 20 + 0.1Cb# 20 + 0.1Cb# 0.6 0
tf(SCL) Fall time, SCL tsu(SCLH-SDAH) Setup time, SCL high before SDA high (for STOP condition) tw(SP) Cb# Pulse duration, spike (must be suppressed) Capacitive load for each bus line
The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down. A Fast-mode I2C-bus device can be used in a Standard-mode I2C-bus system, but the requirement tsu(SDA-SCLH) 250 ns must then be met. This will automatically be the case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch the LOW period of the SCL signal, it must output the next data bit to the SDA line tr max + tsu(SDA-SCLH) = 1000 + 250 = 1250 ns (according to the Standard-mode I2C-Bus Specification) before the SCL line is released. A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the undefined region of the falling edge of SCL. The maximum th(SDA-SCLL) has only to be met if the device does not stretch the low period [tw(SCLL)] of the SCL signal. # Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed. 11 SDA 8 4 10 SCL 1 7 3 Stop Start Repeated Start 12 3 2 5 6 14 13 9
Stop
Figure 13-1. I2C Receive Timings
136
SPRS222
June 2003
Inter-Integrated Circuits (I2C) Timing
Table 13-2. Switching Characteristics for I2C Timings (see Figure 13-2)
-400 -500 -600 NO. PARAMETER STANDARD MODE MIN 16 17 18 19 20 21 22 23 24 25 26 27 28 tc(SCL) Cycle time, SCL td(SCLH-SDAL) Delay time, SCL high to SDA low (for a repeated START condition) Delay time, SDA low to SCL low (for a START and a repeated td(SDAL-SCLL) START condition) tw(SCLL) tw(SCLH) Pulse duration, SCL low Pulse duration, SCL high 10 4.7 4 4.7 4 250 0 4.7 1000 1000 300 300 4 MAX FAST MODE MIN 2.5 0.6 0.6 1.3 0.6 100 0 1.3 20 + 0.1Cb 20 + 0.1Cb 20 + 0.1Cb 20 + 0.1Cb 0.6 10 0.9 300 300 300 300 MAX s s s s s ns s s ns ns ns s pF ns UNIT
td(SDAV-SDLH) Delay time, SDA valid to SCL high tv(SDLL-SDAV) Valid time, SDA valid after SCL low (For I2C bus devices) tw(SDAH) tr(SDA) tr(SCL) tf(SDA) Pulse duration, SDA high between STOP and START conditions Rise time, SDA Rise time, SCL Fall time, SDA
tf(SCL) Fall time, SCL td(SCLH-SDAH) Delay time, SCL high to SDA high (for STOP condition)
29 Cp Capacitance for each I2C pin 10 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed. 26 SDA 23 19 25 SCL 16 22 18 Stop Start Repeated Start 27 18 17 20 21 28 24
Stop
Figure 13-2. I2C Transmit Timings
June 2003
SPRS222
137
PRODUCT PREVIEW
Host-Port Interface (HPI) Timing
14
Host-Port Interface (HPI) Timing
-400 -500 -600 MIN MAX ns ns ns ns ns ns ns ns ns ns ns tsu(SELV-HSTBL) th(HSTBL-SELV) tw(HSTBL) tw(HSTBH) tsu(SELV-HASL) th(HASL-SELV) tsu(HDV-HSTBH) th(HSTBH-HDV) th(HRDYL-HSTBL) tsu(HASL-HSTBL) th(HSTBL-HASL) Setup time, select signals valid before HSTROBE low Hold time, select signals valid after HSTROBE low Pulse duration, HSTROBE low Pulse duration, HSTROBE high between consecutive accesses Setup time, select signals valid before HAS low Hold time, select signals valid after HAS low Setup time, host data valid before HSTROBE high Hold time, host data valid after HSTROBE high Hold time, HSTROBE low after HRDY low. HSTROBE should not be inactivated until HRDY is active (low); otherwise, HPI writes will not complete properly. Setup time, HAS low before HSTROBE low Hold time, HAS low after HSTROBE low 5 2.4 4P 4P 5 2 5 2.8 2 2 2.1
Table 14-1. Timing Requirements for Host-Port Interface Cycles (see Figure 14-1 through Figure 14-4)
NO.
UNIT
1 2 3 4 10 11 12 13 14
PRODUCT PREVIEW
18 19
HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS. P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. Select signals include: HCNTL[1:0] and HR/W. For HPI16 mode only, select signals also include HHWIL. Select the parameter value of 4P or 12.5 ns, whichever is larger.
Table 14-2. Switching Characteristics Over Recommended Operating Conditions During Host-Port Interface Cycles (see Figure 14-1 through Figure 14-4)
-400 -500 -600 MIN 6 7 8 9 15 16 td(HSTBL-HRDYH) td(HSTBL-HDLZ) td(HDV-HRDYL) toh(HSTBH-HDV) td(HSTBH-HDHZ) td(HSTBL-HDV) Delay time, HSTROBE low to HRDY high# Delay time, HSTROBE low to HD low impedance for an HPI read Delay time, HD valid to HRDY low Output hold time, HD valid after HSTROBE high Delay time, HSTROBE high to HD high impedance Delay time, HSTROBE low to HD valid (HPI16 only) 1.3 2 -3 1.5 12 4P + 8 MAX 4P + 8 ns ns ns ns ns ns
NO.
PARAMETER
UNIT
HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS. P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. # This parameter is used during HPID reads and writes. For reads, at the beginning of the first half-word transfer (HPI16) on the falling edge of HSTROBE, the HPI sends the request to the EDMA internal address generation hardware, and HRDY remains high until the EDMA internal address generation hardware loads the requested data into HPID. For writes, HRDY goes high if the internal write buffer is full.
138
SPRS222
June 2003
Host-Port Interface (HPI) Timing
HAS 1 HCNTL[1:0] 1 HR/W 1 HHWIL HSTROBE HCS 7 HD[15:0] (output) 6 HRDY HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS. 1st half-word 8 2nd half-word 15 9 16 15 9 3 4 3 2 1 2 2 1 2 1 2 2
HAS 10 HCNTL[1:0] 11 10 HR/W 11 10 HHWIL HSTROBE HCS 7 HD[15:0] (output) 6 1st half-word 8 2nd half-word 18 15 9 16 9 3 4 18 15 10 11 10 11 19 11 10 19 11
HRDY For correct operation, strobe the HAS signal only once per HSTROBE active cycle. HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.
Figure 14-2. HPI16 Read Timing (HAS Used) [for DM641 Only]
June 2003
SPRS222
139
PRODUCT PREVIEW
Figure 14-1. HPI16 Read Timing (HAS Not Used, Tied High) [for DM641 Only]
Host-Port Interface (HPI) Timing
HAS 1 2 HCNTL[1:0] 1 HR/W 1 HHWIL 3 4 HSTROBE HCS 12 HD[15:0] (input) 1st half-word 6 HRDY HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS. 14 2nd half-word 13 12 13 3 2 1 2 2 1 2
1 2
PRODUCT PREVIEW
Figure 14-3. HPI16 Write Timing (HAS Not Used, Tied High) [for DM641 Only]
19 HAS 10 HCNTL[1:0] 11 10 HR/W 11 10 HHWIL 3 4 HSTROBE HCS HD[15:0] (input) 1st half-word 6 HRDY 14 18 12 10 10 11 10
19 11
11
11
18 13 2nd half-word 12 13
For correct operation, strobe the HAS signal only once per HSTROBE active cycle. HSTROBE refers to the following logical operation on HCS, HDS1, and HDS2: [NOT(HDS1 XOR HDS2)] OR HCS.
Figure 14-4. HPI16 Write Timing (HAS Used) [for DM641 Only]
140
SPRS222
June 2003
Multichannel Buffered Serial Port (McBSP) Timing
15
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-1. Timing Requirements for McBSP (see Figure 15-1)
-400 -500 -600 tc(CKRX) tw(CKRX) tsu(FRH-CKRL) th(CKRL-FRH) tsu(DRV-CKRL) th(CKRL-DRV) tsu(FXH-CKXL) th(CKXL-FXH) Cycle time, CLKR/X Pulse duration, CLKR/X high or CLKR/X low Setup time, external FSR high before CLKR low Hold time, external FSR high after CLKR low Setup time, DR valid before CLKR low Hold time, DR valid after CLKR low Setup time, external FSX high before CLKX low Hold time, external FSX high after CLKX low CLKR/X ext CLKR/X ext CLKR int CLKR ext CLKR int CLKR ext CLKR int CLKR ext CLKR int CLKR ext CLKX int CLKX ext CLKX int CLKX ext MIN 4P 0.5tc(CKRX) - 1 9 1.3 6 3 8 0.9 3 3.1 9 1.3 6 3 ns ns ns ns ns MAX ns ns ns
NO.
UNIT
2 3 5 6 7 8 10 11
CLKRP = CLKXP = FSRP = FSXP = 0. If polarity of any of the signals is inverted, then the timing references of that signal are also inverted. P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. The maximum bit rate for McBSP-to-McBSP communications is 75 MHz for -600 devices and 66 MHz for -500, -400 devices; therefore, the minimum CLKR/X clock cycle is either four times the CPU cycle time (4P), or 13.3 ns (75 MHz) for -600 devices [or 15 ns (66 MHz) for -500, -400 devices], whichever value is larger. For example, when running parts at 600 MHz (P = 1.67 ns), use 13.3 ns as the minimum CLKR/X clock cycle (by setting the appropriate CLKGDV ratio or external clock source). When running parts at 500 MHz (P = 2 ns), use 15 ns as the minimum CLKR/X clock cycle. The maximum bit rate for McBSP-to-McBSP communications applies to the following hardware configuration: the serial port is a Master of the clock and frame syncs (with CLKR connected to CLKX, FSR connected to FSX, CLKXM = FSXM = 1, and CLKRM = FSRM = 0) in data delay 1 or 2 mode (R/XDATDLY = 01b or 10b) and the other device the McBSP communicates to is a Slave. This parameter applies to the maximum McBSP frequency. Operate serial clocks (CLKR/X) in the reasonable range of 40/60 duty cycle.
June 2003
SPRS222
141
PRODUCT PREVIEW
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-2. Switching Characteristics Over Recommended Operating Conditions for McBSP (see Figure 15-1)
-400 -500 -600 MIN 1 2 3 4 9 12 13 td(CKSH-CKRXH) tc(CKRX) tw(CKRX) td(CKRH-FRV) td(CKXH-FXV) tdis(CKXH-DXHZ) td(CKXH-DXV) Delay time, CLKS high to CLKR/X high for internal CLKR/X generated from CLKS input Cycle time, CLKR/X Pulse duration, CLKR/X high or CLKR/X low Delay time, CLKR high to internal FSR valid Delay time, CLKX high to internal FSX valid Disable time, DX high impedance following last data bit from CLKX high Delay time, CLKX high to DX valid Delay time, FSX high to DX valid 14 td(FXH-DXV) ONLY applies when in data delay 0 (XDATDLY = 00b) mode CLKR/X int CLKR/X int CLKR int CLKX int CLKX ext CLKX int CLKX ext CLKX int CLKX ext FSX int FSX ext 1.4 4P C - 1# -2.1 -1.7 1.7 -3.9 -2.1 -3.9 + D1|| -2.1 + D1|| -2.3 1.9 MAX 10 ns ns C + 1# 3 3 9 4 9 4 + D2|| 9 + D2|| 5.6 ns 9 ns ns ns ns ns
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
CLKRP = CLKXP = FSRP = FSXP = 0. If polarity of any of the signals is inverted, then the timing references of that signal are also inverted. Minimum delay times also represent minimum output hold times. P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. The maximum bit rate for McBSP-to-McBSP communications is 75 MHz for -600 devices and 66 MHz for -500, -400 devices; therefore, the minimum CLKR/X clock cycle is either four times the CPU cycle time (4P), or 13.3 ns (75 MHz) for -600 devices [or 15 ns (66 MHz) for -500, -400 devices], whichever value is larger. For example, when running parts at 600 MHz (P = 1.67 ns), use 13.3 ns as the minimum CLKR/X clock cycle (by setting the appropriate CLKGDV ratio or external clock source). When running parts at 500 MHz (P = 2 ns), use 15 ns as the minimum CLKR/X clock cycle. The maximum bit rate for McBSP-to-McBSP communications applies to the following hardware configuration: the serial port is a Master of the clock and frame syncs (with CLKR connected to CLKX, FSR connected to FSX, CLKXM = FSXM = 1, and CLKRM = FSRM = 0) in data delay 1 or 2 mode (R/XDATDLY = 01b or 10b) and the other device the McBSP communicates to is a Slave. # C = H or L S = sample rate generator input clock = 4P if CLKSM = 1 (P = 1/CPU clock frequency) = sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period) H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero CLKGDV should be set appropriately to ensure the McBSP bit rate does not exceed the maximum limit (see footnote above). || Extra delay from CLKX high to DX valid applies only to the first data bit of a device, if and only if DXENA = 1 in SPCR. if DXENA = 0, then D1 = D2 = 0 if DXENA = 1, then D1 = 4P, D2 = 8P
142
SPRS222
June 2003
Multichannel Buffered Serial Port (McBSP) Timing
CLKS 1 3 3 CLKR 4 FSR (int) 5 FSR (ext) 7 DR 2 3 CLKX 9 FSX (int) 11 FSX (ext) FSX (XDATDLY=00b) 12 DX Bit 0 14 13 Bit(n-1) 13 (n-2) (n-3) 10 3 Bit(n-1) 8 (n-2) (n-3) 6 4 2
Figure 15-1. McBSP Timing Table 15-3. Timing Requirements for FSR When GSYNC = 1 (see Figure 15-2)
-400 -500 -600 MIN 1 2 tsu(FRH-CKSH) th(CKSH-FRH) Setup time, FSR high before CLKS high Hold time, FSR high after CLKS high 4 4 MAX ns ns
NO.
UNIT
CLKS 1 FSR external CLKR/X (no need to resync) CLKR/X (needs resync) 2
Figure 15-2. FSR Timing When GSYNC = 1
June 2003
SPRS222
143
PRODUCT PREVIEW
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-4. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 (see Figure 15-3)
-400 -500 -600 MASTER MIN 4 5 tsu(DRV-CKXL) th(CKXL-DRV) Setup time, DR valid before CLKX low Hold time, DR valid after CLKX low 12 4 MAX SLAVE MIN 2 - 12P 5 + 24P MAX ns ns
NO.
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1.
Table 15-5. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0 (see Figure 15-3)
-400 -500 -600 MASTER SLAVE MIN MAX ns ns 12P + 2.8 20P + 17 ns ns 4P + 3 8P + 1.8 12P + 17 16P + 17 ns ns MIN 1 2 3 6 7 8 th(CKXL-FXL) td(FXL-CKXH) td(CKXH-DXV) tdis(CKXL-DXHZ) tdis(FXH-DXHZ) td(FXL-DXV) Hold time, FSX low after CLKX low Delay time, FSX low to CLKX high# Delay time, CLKX high to DX valid Disable time, DX high impedance following last data bit from CLKX low Disable time, DX high impedance following last data bit from FSX high Delay time, FSX low to DX valid T-2 L-2 -2 L-2 MAX T+3 L+3 4 L+3
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1. S = Sample rate generator input clock = 4P if CLKSM = 1 (P = 1/CPU clock frequency) = Sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period) T = CLKX period = (1 + CLKGDV) * S H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input on FSX and FSR is inverted before being used internally. CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP # FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master clock (CLKX). CLKX 1 FSX 7 6 DX Bit 0 4 DR Bit 0 Bit(n-1) 8 3 Bit(n-1) 5 (n-2) (n-3) (n-4) (n-2) (n-3) (n-4) 2
Figure 15-3. McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 0
144
SPRS222
June 2003
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-6. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 (see Figure 15-4)
-400 -500 -600 MASTER MIN 4 5 tsu(DRV-CKXH) th(CKXH-DRV) Setup time, DR valid before CLKX high Hold time, DR valid after CLKX high 12 4 MAX SLAVE MIN 2 - 12P 5 + 24P MAX ns ns
NO.
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1.
Table 15-7. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0 (see Figure 15-4)
-400 -500 -600 MASTER MIN 1 2 3 6 7 th(CKXL-FXL) td(FXL-CKXH) td(CKXL-DXV) tdis(CKXL-DXHZ) td(FXL-DXV) Hold time, FSX low after CLKX low Delay time, FSX low to CLKX high# Delay time, CLKX low to DX valid Disable time, DX high impedance following last data bit from CLKX low Delay time, FSX low to DX valid L-2 T-2 -2 -2 H-2 MAX L+3 T+3 4 12P + 4 4 12P + 3 H+4 8P + 2 20P + 17 20P + 17 16P + 17 SLAVE MIN MAX ns ns ns ns ns
NO.
PARAMETER
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1. S = Sample rate generator input clock = 4P if CLKSM = 1 (P = 1/CPU clock frequency) = Sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period) T = CLKX period = (1 + CLKGDV) * S H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input on FSX and FSR is inverted before being used internally. CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP # FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master clock (CLKX).
CLKX 1 FSX 6 Bit 0 7 Bit(n-1) 4 DR Bit 0 Bit(n-1) 3 (n-2) 5 (n-2) (n-3) (n-4) (n-3) (n-4) 2
DX
Figure 15-4. McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 0
June 2003
SPRS222
145
PRODUCT PREVIEW
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-8. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 (see Figure 15-5)
-400 -500 -600 MASTER MIN 4 5 tsu(DRV-CKXH) th(CKXH-DRV) Setup time, DR valid before CLKX high Hold time, DR valid after CLKX high 12 4 MAX SLAVE MIN 2 - 12P 5 + 24P MAX ns ns
NO.
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1.
Table 15-9. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1 (see Figure 15-5)
-400 -500 -600 MASTER SLAVE MIN MAX ns ns 20P + 17 ns ns 4P + 3 8P + 2 12P + 17 16P + 17 ns ns MIN 1 2 3 6 7 8 th(CKXH-FXL) td(FXL-CKXL) td(CKXL-DXV) tdis(CKXH-DXHZ) tdis(FXH-DXHZ) td(FXL-DXV) Hold time, FSX low after CLKX high Delay time, FSX low to CLKX low# Delay time, CLKX low to DX valid Disable time, DX high impedance following last data bit from CLKX high Disable time, DX high impedance following last data bit from FSX high Delay time, FSX low to DX valid T-2 H-2 -2 H-2 MAX T+3 H+3 4 12P + 4 H+3
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1. S = Sample rate generator input clock = 4P if CLKSM = 1 (P = 1/CPU clock frequency) = Sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period) T = CLKX period = (1 + CLKGDV) * S H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input on FSX and FSR is inverted before being used internally. CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP # FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master clock (CLKX). CLKX 1 FSX 7 6 DX Bit 0 4 DR Bit 0 Bit(n-1) 8 Bit(n-1) 5 (n-2) (n-3) (n-4) 3 (n-2) (n-3) (n-4) 2
Figure 15-5. McBSP Timing as SPI Master or Slave: CLKSTP = 10b, CLKXP = 1
146
SPRS222
June 2003
Multichannel Buffered Serial Port (McBSP) Timing
Table 15-10. Timing Requirements for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 (see Figure 15-6)
-400 -500 -600 MASTER MIN 4 5 tsu(DRV-CKXH) th(CKXH-DRV) Setup time, DR valid before CLKX high Hold time, DR valid after CLKX high 12 4 MAX SLAVE MIN 2 - 12P 5 + 24P MAX ns ns
NO.
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1.
Table 15-11. Switching Characteristics Over Recommended Operating Conditions for McBSP as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1 (see Figure 15-6)
-400 -500 -600 MASTER MIN 1 2 3 6 7 th(CKXH-FXL) td(FXL-CKXL) td(CKXH-DXV) tdis(CKXH-DXHZ) td(FXL-DXV) Hold time, FSX low after CLKX high Delay time, FSX low to CLKX low# Delay time, CLKX high to DX valid Disable time, DX high impedance following last data bit from CLKX high Delay time, FSX low to DX valid H-2 T-2 -2 -2 L-2 MAX H+3 T+1 4 12P + 4 4 12P + 3 L+4 8P + 2 20P + 17 20P + 17 16P + 17 SLAVE MIN MAX ns ns ns ns ns
NO.
PARAMETER
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. For all SPI Slave modes, CLKG is programmed as 1/4 of the CPU clock by setting CLKSM = CLKGDV = 1. S = Sample rate generator input clock = 4P if CLKSM = 1 (P = 1/CPU clock frequency) = Sample rate generator input clock = P_clks if CLKSM = 0 (P_clks = CLKS period) T = CLKX period = (1 + CLKGDV) * S H = CLKX high pulse width = (CLKGDV/2 + 1) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero L = CLKX low pulse width = (CLKGDV/2) * S if CLKGDV is even = (CLKGDV + 1)/2 * S if CLKGDV is odd or zero FSRP = FSXP = 1. As a SPI Master, FSX is inverted to provide active-low slave-enable output. As a Slave, the active-low signal input on FSX and FSR is inverted before being used internally. CLKXM = FSXM = 1, CLKRM = FSRM = 0 for Master McBSP CLKXM = CLKRM = FSXM = FSRM = 0 for Slave McBSP # FSX should be low before the rising edge of clock to enable Slave devices and then begin a SPI transfer at the rising edge of the Master clock (CLKX).
CLKX 1 FSX 6 DX Bit 0 4 DR Bit 0 Bit(n-1) 7 Bit(n-1) 3 (n-2) 5 (n-2) (n-3) (n-4) (n-3) (n-4) 2
Figure 15-6. McBSP Timing as SPI Master or Slave: CLKSTP = 11b, CLKXP = 1
June 2003
SPRS222
147
PRODUCT PREVIEW
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
16
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
Table 16-1. Timing Requirements for Video Capture Mode for VPxCLKINx (see Figure 16-1)
VCLKIN timing (Video Capture Mode)
-400 -500 -600 MIN 1 2 3 4 tc(VKI) tw(VKIH) tw(VKIL) tt(VKI) Cycle time, VPxCLKINx Pulse duration, VPxCLKINx high Pulse duration, VPxCLKINx low Transition time, VPxCLKINx 12.5 5.4 5.4 2 MAX ns ns ns ns
NO.
UNIT
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.
1
4 3
PRODUCT PREVIEW
2 VPxCLKINx
4
Figure 16-1. Video Port Capture VPxCLKINx TIming
16.1 STCLK Timing
Table 16-2. Timing Requirments for STCLK (see Figure 16-2)
-400 -500 -600 MIN 1 2 3 4 tc(STCLK) tw(STCLKH) tw(STCLKL) tt(STCLK) Cycle time, STCLK Pulse duration, STCLK high Pulse duration, STCLK low Transition time, STCLK 33.3 16 16 2 MAX ns ns ns ns
NO.
UNIT
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.
1 2 STCLK 3
4
4
Figure 16-2. STCLK Timing
148
SPRS222
June 2003
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
16.2 Video Data and Control Timing (Video Capture Mode)
Table 16-3. Timing Requirements in Video Capture Mode for Video Data and Control Inputs (see Figure 16-3)
NO. -400 -500 -600 MIN 1 2 3 4 tsu(VDATV-VKIH) Setup time, VPxDx valid before VPxCLKINx high th(VDATV-VKIH) Hold time, VPxDx valid after VPxCLKINx high tsu(VCTLV-VKIH) Setup time, VPxCTLx valid before VPxCLKINx high th(VCTLV-VKIH) Hold time, VPxCTLx valid after VPxCLKINx high 2.4 0.5 2.4 0.5 MAX ns ns ns ns UNIT
VPxCLKINx 1
VPxD[7:0] (Input) 3 4 VPxCTLx (Input)
Figure 16-3. Video Port Capture Data and Control Input Timing
June 2003
SPRS222
149
PRODUCT PREVIEW
2
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
16.3 VCLKIN Timing (Video Display Mode)
Table 16-4. Timing Requirements for Video Display Mode for VPxCLKINx (see Figure 16-4)
NO. -400 -500 -600 MIN 1 2 3 4 tc(VKI) tw(VKIH) tw(VKIL) tt(VKI) Cycle time, VPxCLKINx Pulse duration, VPxCLKINx high Pulse duration, VPxCLKINx low Transition time, VPxCLKINx 9 4.1 4.1 2 MAX ns ns ns ns UNIT
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.
1 2 VPxCLKINx 3
4
PRODUCT PREVIEW
4
Figure 16-4. Video Port Display VPxCLKINx Timing
16.4 Video Control Input/Output and Video Display Data Output Timing With Respect to VPxCLKINx and VPxCLKOUTx (Video Display Mode)
Table 16-5. Timing Requirements in Video Display Mode for Video Control Input Shown With Respect to VPxCLKINx and VPxCLKOUTx (see Figure 16-5)
NO. -400 -500 -600 MIN 13 14 15 tsu(VCTLV-VKIH) th(VCTLV-VKIH) tsu(VCTLV-VKOH) th(VCTLV-VKOH) Setup time, VPxCTLx valid before VPxCLKINx high Hold time, VPxCTLx valid after VPxCLKINx high Setup time, VPxCTLx valid before VPxCLKOUTx high Hold time, VPxCTLx valid after VPxCLKOUTx high 2.4 0.5 7.4 -0.9 MAX ns ns ns ns UNIT
16 Assuming non-inverted VPxCLKOUTx signal.
150
SPRS222
June 2003
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
Table 16-6. Switching Characteristics Over Recommended Operating Conditions in Video Display Mode for Video Data and Control Output Shown With Respect to VPxCLKINx and VPxCLKOUTx (see Figure 16-5)
NO. PARAMETER -400 -500 -600 MIN 1 2 3 4 5 6 7 8 9 10 11 12 tc(VKO) tw(VKOH) tw(VKOL) tt(VKO) td(VKIH-VKOH) td(VKIL-VKOL) td(VKIH-VKOL) td(VKIL-VKOH) td(VKIH-VPOUTV) td(VKIH-VPOUTIV) td(VKOH-VPOUTV) td(VKOH-VPOUTIV) Cycle time, VPxCLKOUTx Pulse duration, VPxCLKOUTx high Pulse duration, VPxCLKOUTx low Transition time, VPxCLKOUTx Delay time, VPxCLKINx high to VPxCLKOUTx high Delay time, VPxCLKINx low to VPxCLKOUTx low Delay time, VPxCLKINx high to VPxCLKOUTx low Delay time, VPxCLKINx low to VPxCLKOUTx high Delay time, VPxCLKINx high to VPxOUT valid Delay time, VPxCLKINx high to VPxOUT invalid Delay time, VPxCLKOUTx high to VPxOUT valid Delay time, VPxCLKOUTx high to VPxOUT invalid 1.7 4 -0.2 1.4 1.4 1.4 1.4 V - 0.7 VH - 0.7 VL - 0.7 MAX V + 0.7 VH + 0.7 VL + 0.7 1 5 5 5 5 9 ns ns ns ns ns ns ns ns ns ns ns ns UNIT
V = the video input clock (VPxCLKINx) period in ns. VH is the high period of V (video input clock period) in ns and VL is the low period of V (video input clock period) in ns. Assuming non-inverted VPxCLKOUTx signal. VPxOUT consists of VPxCTLx and VPxD[7:0]
VPxCLKINx 5 VPxCLKOUTx [VCLK2P = 0] VPxCLKOUTx (Inverted) [VCLK2P = 1] 4 7 1 3 2 6
4 8 12 10 15 16 14 13
11 VPxCTLx,VPxD[7:0] (Outputs) 9
VPxCTLx (Input)
Figure 16-5. Video Port Display Data Output Timing and Control Input/Output Timing With Respect to VPxCLKINx and VPxCLKOUTx
June 2003
SPRS222
151
PRODUCT PREVIEW
Video Port Timing (VP0 [DM641/DM640] and VP1 [DM641 Only])
16.5 Video Dual-Display Sync Mode Timing (With Respect to VPxCLKINx)
Table 16-7. Timing Requirements for Dual-Display Sync Mode for VPxCLKINx (see Figure 16-6)
NO. -400 -500 -600 MIN 1 tskr(VKI) Skew rate, VPxCLKINx before VPyCLKINy MAX 500 ps UNIT
VPxCLKINx
1 VPyCLKINy
Figure 16-6. Video Port Dual-Display Sync Timing
PRODUCT PREVIEW
152 SPRS222
June 2003
Ethernet Media Access Controller (EMAC) Timing
17
Ethernet Media Access Controller (EMAC) Timing
Table 17-1. Timing Requirements for MRCLK (see Figure 17-1)
-400 -500 -600 MIN MAX ns ns ns 3 ns tc(MRCLK) tw(MRCLKH) tw(MRCLKL) tt(MRCLK) Cycle time, MRCLK Pulse duration, MRCLK high Pulse duration, MRCLK low Transition time, MRCLK 25 11 11
NO.
UNIT
1 2 3 4
The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.
1 2 MRCLK 3
4
4
Figure 17-1. MRCLK Timing (EMAC - Receive) Table 17-2. Timing Requirements for MTCLK (see Figure 17-2)
NO. -400 -500 -600 MIN 1 2 3 tc(MTCLK) tw(MTCLKH) tw(MTCLKL) tt(MTCLK) Cycle time, MTCLK Pulse duration, MTCLK high Pulse duration, MTCLK low 400 180 180 5 MAX ns ns ns ns UNIT
4 Transition time, MTCLK The reference points for the rise and fall transitions are measured at VIL MAX and VIH MIN.
1 2 MTCLK 3
4
4
Figure 17-2. MTCLK Timing (EMAC - Transmit)
June 2003
SPRS222
153
PRODUCT PREVIEW
Ethernet Media Access Controller (EMAC) Timing
Table 17-3. Timing Requirements for EMAC MII Receive 10/100 Mbit/s (see Figure 17-3)
NO. -400 -500 -600 MIN 1 tsu(MRXD-MRCLKH) Setup time, receive selected signals valid before MRCLK high 8 8 MAX ns ns UNIT
2 th(MRCLKH-MRXD) Hold time, receive selected signals valid after MRCLK high Receive selected signals include: MRXD3-MRXD0, MRXDV, and MRXER.
MRXD3-MRXD0 is driven by the PHY on the falling edge of MRCLK. MRXD3-MRXD0 timing must be met during clock periods when MRXDV is asserted. MRXDV is asserted and deasserted by the PHY on the falling edge of MRCLK. MRXER is driven by the PHY on the falling edge of MRCLK (xx = 00-01).
1 2 MRCLK (Input)
PRODUCT PREVIEW
MRXD3-MRXD0, MRXDV, MRXER (Inputs)
Figure 17-3. EMAC Receive Interface Timing Table 17-4. Switching Characteristics Over Recommended Operating Conditions for EMAC MII Transmit 10/100 Mbit/s (see Figure 17-4)
NO. PARAMETER -400 -500 -600 MIN 1 td(MTCLKH-MTXD) Delay time, MTCLK high to transmit selected signals valid Transmit selected signals include: MTXD3-MTXD0, and MTXEN. 5 MAX 25 ns UNIT
MTXD3-MTXD0 is driven by the reconciliation sublayer synchronous to the MTCLK. MTXEN is asserted and deasserted by the reconciliation sublayer synchronous to the MTCLK rising edge.
1 MTCLK (Input)
MTXD3-MTXD0, MTXEN (Outputs)
Figure 17-4. EMAC Transmit Interface Timing
154
SPRS222
June 2003
Management Data Input/Output (MDIO) Timing
18
Management Data Input/Output (MDIO) Timing
Table 18-1. Timing Requirements for MDIO Input (see Figure 18-1)
-400 -500 -600 MIN MAX ns ns 5 10 10 ns ns ns tc(MDCLK) tw(MDCLK) tt(MDCLK) tsu(MDIO-MDCLKH) th(MDCLKH-MDIO) Cycle time, MDCLK Pulse duration, MDCLK high/low Transition time, MDCLK Setup time, MDIO data input valid before MDCLK high Hold time, MDIO data input valid after MDCLK high 400 180
NO.
UNIT
1 2 3 4 5
1
MDCLK
5
MDIO (input)
Figure 18-1. MDIO Input Timing Table 18-2. Switching Characteristics Over Recommended Operating Conditions for MDIO Output (see Figure 18-2)
NO. PARAMETER -400 -500 -600 MIN 7 td(MDCLKL-MDIO) Delay time, MDCLK low to MDIO data output valid MAX 100 ns UNIT
1
MDCLK
7
MDIO (output)
Figure 18-2. MDIO Output Timing
June 2003
SPRS222
155
PRODUCT PREVIEW
4
Timer Timing
19
Timer Timing
Table 19-1. Timing Requirements for Timer Inputs (see Figure 19-1)
-400 -500 -600 MIN MAX ns ns tw(TINPH) tw(TINPL) Pulse duration, TINP high Pulse duration, TINP low 8P 8P
NO.
UNIT
1 2
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns.
Table 19-2. Switching Characteristics Over Recommended Operating Conditions for Timer Outputs (see Figure 19-1)
-400 -500 -600 MIN 3 4 tw(TOUTH) tw(TOUTL) Pulse duration, TOUT high Pulse duration, TOUT low 8P - 3 8P - 3 MAX ns ns
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. 2 1 TINPx 3 TOUTx 4
Figure 19-1. Timer Timing
156
SPRS222
June 2003
General-Purpose Input/Output (GPIO) Port Timing
20
General-Purpose Input/Output (GPIO) Port Timing
Table 20-1. Timing Requirements for GPIO Inputs (see Figure 20-1)
-400 -500 -600 MIN MAX ns ns tw(GPIH) tw(GPIL) Pulse duration, GPIx high Pulse duration, GPIx low 8P 8P
NO.
UNIT
1 2
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. The pulse width given is sufficient to generate a CPU interrupt or an EDMA event. However, if a user wants to have the DSP recognize the GPIx changes through software polling of the GPIO register, the GPIx duration must be extended to at least 12P to allow the DSP enough time to access the GPIO register through the CFGBUS.
Table 20-2. Switching Characteristics Over Recommended Operating Conditions for GPIO Outputs (see Figure 20-1)
-400 -500 -600 MIN 3 4 tw(GPOH) tw(GPOL) Pulse duration, GPOx high Pulse duration, GPOx low 32P 32P MAX ns ns
NO.
PARAMETER
UNIT
P = 1/CPU clock frequency in ns. For example, when running parts at 600 MHz, use P = 1.67 ns. 2 1 GPIx 3 GPOx 4
Figure 20-1. GPIO Port Timing
June 2003
SPRS222
157
PRODUCT PREVIEW
JTAG Test-Port Timing
21
JTAG Test-Port Timing
Table 21-1. Timing Requirements for JTAG Test Port (see Figure 21-1)
-400 -500 -600 MIN MAX ns ns ns tc(TCK) tsu(TDIV-TCKH) th(TCKH-TDIV) Cycle time, TCK Setup time, TDI/TMS/TRST valid before TCK high Hold time, TDI/TMS/TRST valid after TCK high 35 10 9
NO.
UNIT
1 3 4
Table 21-2. Switching Characteristics Over Recommended Operating Conditions for JTAG Test Port (see Figure 21-1)
-400 -500 -600 MIN 2 td(TCKL-TDOV) Delay time, TCK low to TDO valid -3 MAX 18 ns
NO.
PARAMETER
UNIT
PRODUCT PREVIEW
1 TCK 2 TDO 4 3 TDI/TMS/TRST 2
Figure 21-1. JTAG Test-Port Timing
158
SPRS222
June 2003
Mechanical Data
22
Mechanical Data
PLASTIC BALL GRID ARRAY
22.1 Ball Grid Array Mechanical Data Drawing (GDK) GDK (S-PBGA-N548)
23,10 SQ 22,90 21,10 SQ 20,90 0,80 0,40
AF AE AD AC AB AA Y W V U T R N M L K J H G F E D C B A 1 2 3 4 5 6 7 8 9 11 13 15 17 19 21 23 25 10 12 14 16 18 20 22 24 26 P
20,00 TYP
0,80
0,40
A1 Corner
Bottom View 0,50 NOM 2,80 MAX
Seating Plane 0,55 0,45 0,10 0,12
0,45 0,35
4203481-3/B 07/02
NOTES: A. All linear dimensions are in millimeters. B. This drawing is subject to change without notice. C. Flip chip application only.
June 2003
SPRS222
159
PRODUCT PREVIEW
Mechanical Data
Table 22-1. Thermal Resistance Characteristics (S-PBGA Package) [GDK]
NO 1 2 3 4 5 6 RJA Junction-to-free air RJC RJB Junction-to-case Junction-to-board C/W 3.3 7.92 18.2 15.3 13.7 12.2 0.37 0.47 7 PsiJT Junction-to-package top 0.57 0.7 11.4 11 8 PsiJB Junction-to-board 10.7 10.2 Air Flow (m/s) N/A N/A 0.00 0.5 1.0 2.00 0.00 0.5 1.0 2.00 0.00 0.5 1.0 2.00
PRODUCT PREVIEW
m/s = meters per second
160
SPRS222
June 2003
Mechanical Data
22.2 Ball Grid Array Mechanical Data Drawing (GNZ) GNZ (S-PBGA-N548) PLASTIC BALL GRID ARRAY
27,20 SQ 26,80 25,20 SQ 24,80
AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A 1 2 3 4 5 6 7 8 9
25,00 TYP 1,00 0,50
1,00
0,50
A1 Corner
11 13 15 17 19 21 23 25 10 12 14 16 18 20 22 24 26
Bottom View
2,80 MAX 0,50 NOM Seating Plane 0,70 0,50 0,10 0,15
0,60 0,40
4202595-5/E 12/02 NOTES: A. B. C. D. All linear dimensions are in millimeters. This drawing is subject to change without notice. Flip chip application only. Substrate color may vary.
June 2003
SPRS222
161
PRODUCT PREVIEW
Mechanical Data
Table 22-2. Thermal Resistance Characteristics (S-PBGA Package) [GNZ]
NO 1 2 3 4 5 6 RJA Junction-to-free air RJC RJB Junction-to-case Junction-to-board C/W 3.3 7.46 17.4 14.0 12.3 10.8 0.37 0.47 7 PsiJT Junction-to-package top 0.57 0.7 11.4 11 8 PsiJB Junction-to-board 10.7 10.2 Air Flow (m/s) N/A N/A 0.00 0.5 1.0 2.00 0.00 0.5 1.0 2.00 0.00 0.5 1.0 2.00
PRODUCT PREVIEW
m/s = meters per second
162
SPRS222
June 2003


▲Up To Search▲   

 
Price & Availability of TMS320C82GGP-60

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X